Reply by Alex Gibson March 27, 20052005-03-27
"Jaime Andr&#4294967295;s Aranguren Cardona" <jaime.aranguren@gmail.com> wrote in 
message news:1111808961.860636.147300@z14g2000cwz.googlegroups.com...
> Hi, > > Subroto Datta wrote: >> Hi Big, >> >> We'd like to hear your view about how Quartus can be made better > for >> your needs. > > Remove the 150 day restriction for the web edition. make it time > unlimited as the ISE WebPack. > > This is the main factor for me to use Xilinx instead of Altera. And I > am sure that for many more too. > > It is prefereable that it does not work for high-end FPGAs, CPLDs or > Structured ASICs, and only for low/mid range devices, but works > unlimited in time. > > JaaC
Problem ? Just request another license like the rest of us. If your lucky you get it same day and keep going as before (even though last time it took a couple of days) I wish Altera would do service packs, instead of having to download the whole thing for the web edtion. But thats my biggest annoyance with xilinx webpack and ise. The stupidly sized service packs. Download 200MB one day then few days later need to download another 300MB for the service pack. Alex
Reply by Hendra March 26, 20052005-03-26
Subroto Datta wrote:
> We'd like to hear your view about how Quartus can be made better
for
> your needs. > > - Subroto Datta > Altera Corp.
Please couple Quartus with the free version of ModelSim or whatever other Simulator that supports TestBench. A prof. in my Alma Mater insisted on using MaxPlus II and Quartus, and I hated it so much because the simulator that comes with it doesn't support testbench at all. Not to mention its clumsy user interface. I would just use Altera software for synthesis, map and PR, but for the simulation I prefer ModelSim or any other dedicated Simulator. Hendra
Reply by March 25, 20052005-03-25
Hi,

Subroto Datta wrote:
> Hi Big, > > We'd like to hear your view about how Quartus can be made better
for
> your needs.
Remove the 150 day restriction for the web edition. make it time unlimited as the ISE WebPack. This is the main factor for me to use Xilinx instead of Altera. And I am sure that for many more too. It is prefereable that it does not work for high-end FPGAs, CPLDs or Structured ASICs, and only for low/mid range devices, but works unlimited in time.
> - Subroto Datta > Altera Corp.
JaaC
Reply by Subroto Datta March 24, 20052005-03-24
Ben Twijnstra wrote:
> Hi Tuukka, >
> > There's a whopping big TCL scripting manual in dead-tree format (200+
pages
> if I'm correct) that comes with Quartus 4.2. Also, work is under way
to
> document each and every setting that can be set in the GUI and that
the
> Quartus TCL interpreter understands. >
You can save the trees and read the 358 page document from http://www.altera.com/literature/manual/TclScriptRefMnl.pdf The document being referred to by Ben is the Quartus Scripting Reference Manual. It is slight misnomer to call it a Tcl scripting reference. This document covers two different approaches for scripting, each with their own strengths. One is command line scripting, where you call the different command line executables quartus_map, quartus_fit, quartus_tan, quartus_eda, quartus_asm and quartus_pgm with command line options. If you are familiar with the Xilinx compiler executables with their - switches on the command line this will be very easy to understand. The second approach allows you to use the Tcl programming language for creating your design flows. This is more powerful than command line scripting as you can use the rich Tcl API supported by the Quartus executables to script and automate your design flows, right from setting up your project, through compilation and verification. For e.g. if you wanted to control our flow based on the condition of certain design objects in your compiler report, or create custom timing analysis reports the Tcl approach is the way to go. Hope this helps, Subroto Datta Altera Corp.
Reply by Ben Twijnstra March 24, 20052005-03-24
Hi Tuukka,

> On 2005-03-23, Ben Twijnstra <btwijnstra@gmail.com> wrote: >> I'm pushing for this as well. Would you be OK with a GUI-less version? > > If I'd have Altera FPGA, definitely yes. I probably would have considered > purchasing Altera, if there would have been decent Linux software out to > try. Now it's a bit late, though. > > There needs to be an easy tutorial on using the CLI tools, but I trust > Altera can do it, or they already have.
There's a whopping big TCL scripting manual in dead-tree format (200+ pages if I'm correct) that comes with Quartus 4.2. Also, work is under way to document each and every setting that can be set in the GUI and that the Quartus TCL interpreter understands. Then of course there's the quartus_sh --qhelp command that will give you online help for the command-line option of every Quartus command. Best regards, Ben
Reply by March 24, 20052005-03-24
Tommy Thorn <foobar@nowhere.void> writes:

> Oh yes. I can't be the only one here who would much rather run > everything from a Makefile.
No, you're not the only one. I run both Quartus and ISE on Linux with Makefiles (well in the Quartus case most of the work is done in Tcl). I prefer to check all the files out from CVS, run make which will run synthesis, ngdbuild, map, par, bitgen, trce, netgen, and optionally upload the bit file (using impact in batch mode) to the FPGA. The only FPGA GUI tool I use every now and then is the FPGA editor (I use signalscan for my simulations). If GUI is Driving Under Influence, then what does GUI mean? Petter -- A: Because it messes up the order in which people normally read text. Q: Why is top-posting such a bad thing? A: Top-posting. Q: What is the most annoying thing on usenet and in e-mail?
Reply by Paul Leventis March 24, 20052005-03-24
Hi,

Yes, I have tried ISE -- the OP asked for an alternative.  Quartus
isn't perfect, but I think the GUI is more intuitive and has a lower
learning curve, and the tool is generally more integrated.  Or for
those script-lovers, you can script up the various pieces of the tool
to run without having to ever look at the GUI.

The OP was asking for an alteranative, and I provided one.

Regards,

Paul

Reply by lecr...@chek.com March 24, 20052005-03-24
I am up and running with 7.1 now. I have not seen Foundation after
version 3.  I guess it looks alright.  I do not plan on using anything
but text driven designs so the all of the graphical data entry won't
help me.  It's going to take me some time to really give good feedback
on it.

Maybe something for both Altera and Xilinx.  If you are really
interested in some feedback, I would personally take the time to enter
data into an on-line survey about your tools if you set one up.  Just a
thought.

I had hopes of going back to Foundation (I need to stop having those)
of being able to port some older designs.  It seems it is able to read
projects from version 4, forward.  I have written Xilinx to see if I
can get a copy of version 4 to port older designs to it and then to 7.
Has anyone tried this for fun?

Reply by Tuukka Toivonen March 24, 20052005-03-24
On 2005-03-23, Ben Twijnstra <btwijnstra@gmail.com> wrote:
> I'm pushing for this as well. Would you be OK with a GUI-less version?
If I'd have Altera FPGA, definitely yes. I probably would have considered purchasing Altera, if there would have been decent Linux software out to try. Now it's a bit late, though. There needs to be an easy tutorial on using the CLI tools, but I trust Altera can do it, or they already have.
Reply by Tommy Thorn March 24, 20052005-03-24
Hi Ben

> I'm pushing for this as well. Would you be OK with a GUI-less version? > (anyone interested raise their hands!). Altera pays royalties on the GUI > under Linux and Solaris, so if you can get by without a GUI, Altera can > truly ship something free.
Oh yes. I can't be the only one here who would much rather run everything from a Makefile. Many moons ago I went through the agony of reverse engineering how to run XST, map, par, etc. from the commandline (under Wine), but that was ISE and I didn't keep it up. Cheers, Tommy