Reply by Subroto Datta October 26, 20032003-10-26
Use the waveform editor and arrange the individual bits in order, with MSB
on top, LSB at the bottom. Click with the right button and use the Group
command. It will ask for the name of the group and the radix with which to
display it. Hope that helps.

- Subroto Datta
Altera Corp.

"Pratip Mukherjee" <pratipm@hotmail.com> wrote in message
news:Xns94206147D5C7pratipmhotmailcom@204.127.199.17...
> Hi, > If I have a port defined as std_logic_vector(n downto 0), Quartus
simulator
> is showing the individual bits in binary values. How do I make it display > all the bits together in a hex mode. > Thanks in advance. > > Pratip Mukherjee
Reply by Pratip Mukherjee October 26, 20032003-10-26
Hi,
If I have a port defined as std_logic_vector(n downto 0), Quartus simulator 
is showing the individual bits in binary values. How do I make it display 
all the bits together in a hex mode.
Thanks in advance.

Pratip Mukherjee