Reply by CMOS February 16, 20062006-02-16
hi,
thanks a lot for the information. i'll try the books you've suggested.
any comments on the book "Advanced Digital
Design with the Verilog" is very much appreciated.

thank you
CMOS

Reply by February 16, 20062006-02-16
Have you tried DSP with FPGA by Meyer. That gives a good introduction
in VHDL DSP design.

Paul

Reply by Dominik Froehlich February 16, 20062006-02-16
there is also a guide called "actel hdl coding" that you can download from the 
actel site. it covers both verilog and vhdl
Reply by Josh Rosen February 16, 20062006-02-16
On Thu, 16 Feb 2006 07:47:53 -0800, CMOS wrote:

> hi, > ive completed a introductory book on vhdl, but not mature enough to do > a real world complex designs using vhdl. i've been serching for > tutorial guids to learn advanced vhdl, preferebly with case studies, > but found non. most of the books on vhdl are introductory level. Some > advanced vhdl books such as "The Designer's Guide to VHDL" does not > consider sysnthesis aspect much. beacause of this lack of resources, im > planning to shift from VHDL to verilog. The book "Advanced Digital > Design with the Verilog" seems to cover what im looking for and has a > good rating with amazon. > > i need to know whther there are books such as this one for vhdl > language. > and a little comparison between vhdl and verilog based on the > popularity for developing advanced deigital systems and support given > by various software tools ( such as xilinx tools, modelSIM, etc) > > and any comments on the verilog book im talking about. > > thank you
For a Verilog primer try The Verilog Hardware Description Language by Thomas & Moorby. For synthesis examples I'd suggest the your download the XST users manual from the Xilinx site. The XST manual gives you side by side examples of Verilog and VHDL for each type of component. Synplicity has a user's guide for Synplify that does the same thing. You should also be able to find a guide for Quartus's synthesis tool on the Altera site. The synthesis tool user's guides are by far the best way to learn the right syntax for an HDL language because they describe exactly what these tools require in order to recognize specific types of components. Text books are generic, they are fine for learning the basic language and for learning how to write behavioral code but they aren't going to be able to tell you what structures the latest synthesis tools can recognize, only the users guides can tell you that.
Reply by Andy Peters February 16, 20062006-02-16
Mike Harrison wrote:

> If you'll be targetting FPGA/CPLDs, I'd reccommend "VHDL for Programmable Logic" by Kevin Skahill
That book is seriously outdated! -a
Reply by Mike Harrison February 16, 20062006-02-16
On 16 Feb 2006 07:47:53 -0800, "CMOS" <manusha@millenniumit.com> wrote:

>hi, >ive completed a introductory book on vhdl, but not mature enough to do >a real world complex designs using vhdl. i've been serching for >tutorial guids to learn advanced vhdl, preferebly with case studies, >but found non. most of the books on vhdl are introductory level. Some >advanced vhdl books such as "The Designer's Guide to VHDL" does not >consider sysnthesis aspect much. beacause of this lack of resources, im >planning to shift from VHDL to verilog. The book "Advanced Digital >Design with the Verilog" seems to cover what im looking for and has a >good rating with amazon. > >i need to know whther there are books such as this one for vhdl >language. >and a little comparison between vhdl and verilog based on the >popularity for developing advanced deigital systems and support given >by various software tools ( such as xilinx tools, modelSIM, etc) > >and any comments on the verilog book im talking about. > >thank you
If you'll be targetting FPGA/CPLDs, I'd reccommend "VHDL for Programmable Logic" by Kevin Skahill
Reply by Joseph Samson February 16, 20062006-02-16
CMOS wrote:
> hi, > ive completed a introductory book on vhdl, but not mature enough to do > a real world complex designs using vhdl. i've been serching for > tutorial guids to learn advanced vhdl, preferebly with case studies, > but found non.
If you can find it, "HDL Chip Design" by Douglas J Smith (Doone Publications ISBN 0-9651934-3-8) gives examples of synthesizable code in Verilog and VHDL side by side. Unfortunately, the website www.doone.com no longer exists. Maybe it is available at a used book source. --- Joe Samson Pixel Velocity
Reply by Dominik Froehlich February 16, 20062006-02-16
hi,

i find "Digital Systems Design with VHDL and Synthesis" by K.C. Cheng a very 
worthwile and informing book. as suggested by the title it focuses on the 
synthesis aspect.

dominik

CMOS wrote:
> hi, > ive completed a introductory book on vhdl, but not mature enough to do > a real world complex designs using vhdl. i've been serching for > tutorial guids to learn advanced vhdl, preferebly with case studies, > but found non. most of the books on vhdl are introductory level. Some > advanced vhdl books such as "The Designer's Guide to VHDL" does not > consider sysnthesis aspect much. beacause of this lack of resources, im > planning to shift from VHDL to verilog. The book "Advanced Digital > Design with the Verilog" seems to cover what im looking for and has a > good rating with amazon. > > i need to know whther there are books such as this one for vhdl > language. > and a little comparison between vhdl and verilog based on the > popularity for developing advanced deigital systems and support given > by various software tools ( such as xilinx tools, modelSIM, etc) > > and any comments on the verilog book im talking about. > > thank you >
Reply by CMOS February 16, 20062006-02-16
hi,
ive completed a introductory book on vhdl, but not mature enough to do
a real world complex designs using vhdl. i've been serching for
tutorial guids to learn advanced vhdl, preferebly with case studies,
but found non. most of the books on vhdl are introductory level. Some
advanced vhdl books such as "The Designer's Guide to VHDL" does not
consider sysnthesis aspect much. beacause of this lack of resources, im
planning to shift from VHDL to verilog. The book "Advanced Digital
Design with the Verilog"  seems to cover what im looking for and has a
good rating with amazon.

i need to know whther there are books such as this one for vhdl
language.
and a little comparison between vhdl and verilog based on the
popularity for developing advanced deigital systems and support given
by various software tools ( such as xilinx tools, modelSIM, etc)

and any comments on the verilog book im talking about.

thank you