Reply by homoalteraiensis August 14, 20062006-08-14
... when working with UNIX, you may want to use a specified script,
forcing the compiler to put everything required into the "work"
directory as destination with one "click".

Reply by KJ August 10, 20062006-08-10

> I found the VHDL file in the Quartus directory. Do I need to > compile it (once ?) with ModelSim, or is there a PATH issue?
Compile it. KJ
Reply by Martin Schoeberl August 10, 20062006-08-10
I'm trying to construct a SOPC component of JOP [1].
As it did not work in the FPGA on the first try
(of course) I would like to simulate it with ModelSim
(Altera version).

However, when I start ModelSim from Quartus I get following
error:

# ** Error: (vcom-11) Could not find C:\altera\Modeltech_ae\win32aloem/../altera/vhdl/altera.altera_europa_support_lib.
# ** Error: D:/usr/cpu/jop/quartus/tmp/jop_system.vhd(24): (vcom-1195) Cannot find expanded name:
'altera.altera_europa_support_lib'.
# ** Error: D:/usr/cpu/jop/quartus/tmp/jop_system.vhd(24): Unknown record element "altera_europa_support_lib".


I found the VHDL file in the Quartus directory. Do I need to
compile it (once ?) with ModelSim, or is there a PATH issue?

Versions: Quartus 6.0sp1, ModelSim ALTERA 6.1d

Thanks,
Martin


[1] http://www.jopdesign.com/