Reply by Peter Sommerfeld January 27, 20042004-01-27
Hi Peter,

Du brauchst kein "Software Build" machen um einen FPGA/CPLD zu
programmieren. Das ist nur fuer Nios oder ARM processor software
gebraucht.

Ich kenne mich mit Quartus sehr gut aus. Leider habe ich schon viel
deutsch verlernt. Trotzdem kannst du mir durch email erreichen, und
ich werde dir versuchen zu helfen.

-- Pete

MisterX@spectraweb.ch (Anton) wrote in message news:<e866f995.0401270002.1e34f492@posting.google.com>...
> Hi Thomas > > Danke dass du dich gemeldet hast. > > Wie gesagt m&#4294967295;chte ich zuerste einen CPLD von Typ EPM3021ALC44-10 > programmieren. > Wenn dies geht m&#4294967295;chte ich dann einen FPGA ACEX mit VHDL probieren. > > Doch zuerste zum ersten Problem. > Ich habe ganz einfach ein AND mit 2 Inputs und einem Output > verbunden. > Was ich kann ohne roten Fehlermeldungen: > _Kompilieren > _Start Analysis & Synthesis > _Start Timing Analysis > _Run Simlation > > > Doch bei "Build" kommt die Fehlermeldung "Software build was not > successful. > Im Fenster "Processing" steht: > "Can't run command-line command during software build because > command-line command is not specified" > und Design Debug: Software build was unsuccessful. 1 error, 0 > warnings. > > Jetzt habe ich mit rechtem Mausklick auf die Fehlermeldung geklickt > und "Help" aufgeruften. > > Jetzt f&#4294967295;ngt das Problem an. Es steht was von "Running a Command-Line > Command During a Software Build " > Dann habe ich den "Software Build Settings wizard." benutzt doch ohne > erfolg. > > Wo liegt das Problem? > > MfG > > Peter > > usenet_10@stanka-web.de (Thomas Stanka) wrote in message news:<ef424d2c.0401260521.5a92e72c@posting.google.com>... > > Hello, > > > > Xpost, no Fup2 (Google 8(.... > > > > this is an English speaking newsgroup, try to use the German newsgroup > > de.sci.electronics for answers in Swissgerman. > > > > > > Fullquote zwecks Einweisung. > > > > MisterX@spectraweb.ch (Anton) wrote: > > > Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und > > > sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english. > > > Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine > > > Datei zur begutachtung schicken kann. > > > Ich w&#4294967295;re sehr froh. > > > Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und > > > nicht mit VHDL da ich noch zuwenig Erfahrung habe. > > > > Mein Englisch ist nicht perfekt, aber ich kenne mich doch ein bischen > > damit aus SCNR. > > > > Schematics sind f&#4294967295;r sehr kleine Schaltungen evtl intuitiver, f&#4294967295;r einen > > FPGA empfehle ich trotzdem die Einarbeitung in VHDL, da sich viele > > Probleme in VHDL eleganter und leichter l&#4294967295;sen lassen. > > > > Sende mir bitte keine Schematics (zumindest nicht, bevor du mir nicht > > den Lohn f&#4294967295;r die Arbeit &#4294967295;berwiesen hast :) aber wenn du konkrete > > Fragen hast, kann ich oder die anderen hier vielleicht helfen. > > > > BTW in de.* sind vollst&#4294967295;ndige Namen gerne gesehen. > > > > bye Thomas
Reply by Anton January 27, 20042004-01-27
Hi Thomas

Danke dass du dich gemeldet hast.

Wie gesagt m&#4294967295;chte ich zuerste einen CPLD von Typ EPM3021ALC44-10
programmieren.
Wenn dies geht m&#4294967295;chte ich dann einen FPGA ACEX mit VHDL probieren.

Doch zuerste zum ersten Problem.
Ich habe ganz einfach ein AND  mit 2 Inputs und einem Output
verbunden.
Was ich kann ohne roten Fehlermeldungen:
_Kompilieren
_Start Analysis & Synthesis
_Start Timing Analysis
_Run Simlation


Doch bei "Build" kommt die Fehlermeldung "Software build was not
successful.
Im Fenster "Processing" steht: 
"Can't run command-line command during software build because
command-line command is not specified"
und Design Debug: Software build was unsuccessful. 1 error, 0
warnings.

Jetzt habe ich mit rechtem Mausklick auf die Fehlermeldung geklickt
und "Help" aufgeruften.

Jetzt f&#4294967295;ngt das Problem an. Es steht was von "Running a Command-Line
Command During a Software Build "
Dann habe ich den "Software Build Settings wizard." benutzt doch ohne
erfolg.

Wo liegt das Problem?

MfG

Peter

usenet_10@stanka-web.de (Thomas Stanka) wrote in message news:<ef424d2c.0401260521.5a92e72c@posting.google.com>...
> Hello, > > Xpost, no Fup2 (Google 8(.... > > this is an English speaking newsgroup, try to use the German newsgroup > de.sci.electronics for answers in Swissgerman. > > > Fullquote zwecks Einweisung. > > MisterX@spectraweb.ch (Anton) wrote: > > Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und > > sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english. > > Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine > > Datei zur begutachtung schicken kann. > > Ich w&#4294967295;re sehr froh. > > Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und > > nicht mit VHDL da ich noch zuwenig Erfahrung habe. > > Mein Englisch ist nicht perfekt, aber ich kenne mich doch ein bischen > damit aus SCNR. > > Schematics sind f&#4294967295;r sehr kleine Schaltungen evtl intuitiver, f&#4294967295;r einen > FPGA empfehle ich trotzdem die Einarbeitung in VHDL, da sich viele > Probleme in VHDL eleganter und leichter l&#4294967295;sen lassen. > > Sende mir bitte keine Schematics (zumindest nicht, bevor du mir nicht > den Lohn f&#4294967295;r die Arbeit &#4294967295;berwiesen hast :) aber wenn du konkrete > Fragen hast, kann ich oder die anderen hier vielleicht helfen. > > BTW in de.* sind vollst&#4294967295;ndige Namen gerne gesehen. > > bye Thomas
Reply by Thomas Stanka January 26, 20042004-01-26
Hello,

Xpost, no Fup2 (Google 8(....

this is an English speaking newsgroup, try to use the German newsgroup
de.sci.electronics for answers in Swissgerman.


Fullquote zwecks Einweisung.

MisterX@spectraweb.ch (Anton) wrote:
> Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und > sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english. > Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine > Datei zur begutachtung schicken kann. > Ich w&#4294967295;re sehr froh. > Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und > nicht mit VHDL da ich noch zuwenig Erfahrung habe.
Mein Englisch ist nicht perfekt, aber ich kenne mich doch ein bischen damit aus SCNR. Schematics sind f&#4294967295;r sehr kleine Schaltungen evtl intuitiver, f&#4294967295;r einen FPGA empfehle ich trotzdem die Einarbeitung in VHDL, da sich viele Probleme in VHDL eleganter und leichter l&#4294967295;sen lassen. Sende mir bitte keine Schematics (zumindest nicht, bevor du mir nicht den Lohn f&#4294967295;r die Arbeit &#4294967295;berwiesen hast :) aber wenn du konkrete Fragen hast, kann ich oder die anderen hier vielleicht helfen. BTW in de.* sind vollst&#4294967295;ndige Namen gerne gesehen. bye Thomas -- due to SWEN yo should replace usenet_10 by thomas when answering per PM.
Reply by Uwe Bonnes January 26, 20042004-01-26
Anton <MisterX@spectraweb.ch> wrote:
: Hi Kevin

: Ich will sp?ter mal VHDL programmieren aber im Moment funktioniert es
: nicht einmal mit "Schematic".

Take the discussion to de.sci.electronics if you want to use german
language.

Bye
-- 
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Reply by Anton January 26, 20042004-01-26
Hi Kevin

Ich will sp&#4294967295;ter mal VHDL programmieren aber im Moment funktioniert es
nicht einmal mit "Schematic".

MfG

Peter

"Kevin Neilson" <kevin_neilson@removethiscomcast.net> wrote in message news:<hWSQb.119032$nt4.495747@attbi_s51>...
> Peter, > Ich empfehle streng, das Sie ein bisschen VHDL oder Verilog lernen. Es > erscheint als ob es ist einfacher mit Schematic zu entwerfen, besonders fuer > Anfaenger, aber dass ist nicht ganz wahr. Leider mit Quartus kann ich nicht > helfen, weil ich nuer Xilinx verwenden. Viel Glueck. > -Kevin > > "Anton" <MisterX@spectraweb.ch> wrote in message > news:e866f995.0401250802.4de0dd4a@posting.google.com... > > Hallo > > > > Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und > > sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english. > > Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine > > Datei zur begutachtung schicken kann. > > Ich w&#4294967295;re sehr froh. > > Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und > > nicht mit VHDL da ich noch zuwenig Erfahrung habe. > > > > > > > > Mit bestem Dank im Voraus. > > > > > > > > Mit freundlichen Gr&#4294967295;ssen > > > > Peter
Reply by Kevin Neilson January 25, 20042004-01-25
Peter,
Ich empfehle streng, das Sie ein bisschen VHDL oder Verilog lernen.  Es
erscheint als ob es ist einfacher mit Schematic zu entwerfen, besonders fuer
Anfaenger, aber dass ist nicht ganz wahr.  Leider mit Quartus kann ich nicht
helfen, weil ich nuer Xilinx verwenden.  Viel Glueck.
-Kevin

"Anton" <MisterX@spectraweb.ch> wrote in message
news:e866f995.0401250802.4de0dd4a@posting.google.com...
> Hallo > > Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und > sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english. > Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine > Datei zur begutachtung schicken kann. > Ich w&#4294967295;re sehr froh. > Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und > nicht mit VHDL da ich noch zuwenig Erfahrung habe. > > > > Mit bestem Dank im Voraus. > > > > Mit freundlichen Gr&#4294967295;ssen > > Peter
Reply by Anton January 25, 20042004-01-25
Hallo 

Ich bin Anf&#4294967295;nger und m&#4294967295;chte einen CPLD von Altera programmieren und
sp&#4294967295;ter einen FPGA. Leider kann ich nicht allzugut english.
Deshalb such ich jemand der sich gut damit auskennt und ich ihm eine
Datei zur begutachtung schicken kann.
Ich w&#4294967295;re sehr froh.
Ich verwende das Programm Quartus 2 und mache dies mit "Schmatic" und
nicht mit VHDL da ich noch zuwenig Erfahrung habe.



Mit bestem Dank im Voraus.



Mit freundlichen Gr&#4294967295;ssen

Peter