Reply by Leon February 3, 20092009-02-03
On 3 Feb, 13:29, Philip Pemberton <usene...@philpem.me.uk> wrote:
> Hi, > I'm currently playing with a Terasic DE1 (aka Altera Cyclone II Starter > Board), and looking to attach a little external hardware. Specifically, a > PIC microcontroller, 40MHz clock oscillator and a couple of TTL buffers. > In all likelihood, an external 5V supply will be running the TTL; the PIC > and oscillator will (ideally) be powered by the DE1. > > Both expansion ports provide +5V and +3.3V from the DE1. Does anyone know > what the power ratings on these outputs are? > > I've checked the Reference Manual, which contains a ton of pin-assignment > tables, but nothing on how much power can be safely leached from the > board via the expansion ports. > > Thanks, > -- > Phil. > usene...@philpem.me.ukhttp://www.philpem.me.uk/
It's impossible to specify that for the 3.3V supply, as it will depend on how much current is used by the FPGA. You will have to see how much current your application takes and then look at the regulator spec. to see how much more is available. Leon
Reply by Philip Pemberton February 3, 20092009-02-03
Hi,
I'm currently playing with a Terasic DE1 (aka Altera Cyclone II Starter 
Board), and looking to attach a little external hardware. Specifically, a 
PIC microcontroller, 40MHz clock oscillator and a couple of TTL buffers. 
In all likelihood, an external 5V supply will be running the TTL; the PIC 
and oscillator will (ideally) be powered by the DE1.

Both expansion ports provide +5V and +3.3V from the DE1. Does anyone know 
what the power ratings on these outputs are?

I've checked the Reference Manual, which contains a ton of pin-assignment 
tables, but nothing on how much power can be safely leached from the 
board via the expansion ports.

Thanks,
-- 
Phil.
usenet09@philpem.me.uk
http://www.philpem.me.uk/