Reply by Mike Treseler November 10, 20102010-11-10
On 11/8/2010 7:21 AM, LC wrote:

> # ** Error: (vsim-3170) Could not find > 'D:\..myworkingpath...\simulation\modelsim\rtl_work.tb_port'.
Post the script or command line you used to run modelsim. Did you create a modelsim work directory named work under the source directory? vlib work vmap work work Did you recompile the changes? vcom mycode.vhd vcom mytb.vhd Did you try an elaboration test like this: vsim -c mytb -do run Good Luck. -- Mike Treseler
Reply by LC November 8, 20102010-11-08
Hello,

On a design I'm working Modelsim (Altera edition)
doesn't like that I reset the simulation to rerun again.
(for example I change the run length and restart the simulation again)

it reports.

# ** Error: (vsim-3170) Could not find
'D:\..myworkingpath...\simulation\modelsim\rtl_work.tb_port'.

and I did not anything whatsoever outside modelsim to make the
file disapear !!!

and only cure is to start it all over compiling it all etc etc.
Even so it is not enough to compile just the test bench, I need
to do it all from the beginning...

On the few examples provided by them, all works without issues...
albeit I use the exact same sequence of operation that works on the
examples, while on my design it works fine only the first run but errors 
as soon as I reset simulation. Very strange !!!

Anyone knows a cure for this ?

Many Thanks.


Luis C.