FPGARelated.com
Forums

Altera unable to respond

Started by ALuPin June 17, 2004
Hi,

is it possible to simulate under Modelsim (OEM Altera 5.7e)
a testbench (without any timing information) which includes
a module with an SDF file?  (The SDF file is attached under
---> Simulate --> SDF  ----> Add SDF file FILENAME_vhd.sdo  +  Apply to
region u1 (instantiation name of the module in the testbench)


I would appreciate your help because Altera seems not to be able
to respond to that question.

Thank you.