FPGARelated.com
Forums

What is a PLD/FPGA with serial or Ethernet port logic or block built in

Started by LM February 22, 2012
I am looking for a chip with somekind of communication port inbuilt. I
am slowly planning a system where some data is sent from a computer to
be processed in the chip.

Processing data is probably easy, but I prefer not to make a serial
port my self and an ethernet port is too much. But an Ethernet port
would be very nice to have.

I would like to have a reasonably priced chip with low cost evaluation
board. And I need as many outputs I can get. Some time ago I was told
here about LCMXO2-1200ZE-B-EVN and others. It is a good kit/chip but
it doesn't have serial port logic built in.

On Wed, 22 Feb 2012 08:46:04 -0800, LM wrote:

> I am looking for a chip with somekind of communication port inbuilt. I > am slowly planning a system where some data is sent from a computer to > be processed in the chip. > > Processing data is probably easy, but I prefer not to make a serial port > my self and an ethernet port is too much. But an Ethernet port would be > very nice to have. > > I would like to have a reasonably priced chip with low cost evaluation > board. And I need as many outputs I can get. Some time ago I was told > here about LCMXO2-1200ZE-B-EVN and others. It is a good kit/chip but it > doesn't have serial port logic built in.
Asynchronous serial ports are dead easy if you use a fixed baud rate. They're so easy, in fact, that I can implement them successfully the first time!! Here's some Verilog code that implements a basic NAAUART (Not At All Universal Asynchronous Receiver Transmitter). I last worked with it in 2004, and you're not paying a cent for it -- so don't expect much in the way of support. About all I can remember of it is that (a) it worked, (b), the clock rate was 25MHz, and (c) my favorite serial port setup is 115200, n, 1, so that's probably what this is. And -- I'm not an FPGA designer: I just play one on TV. So if anyone wants to critique it, don't think I'm going to be offended. https://docs.google.com/open? id=0B5lSHlBBxGvjY2JmODk1MDEtMGYyYy00MzNiLWE0MjUtMWU3YThjZDM1MTU1 -- My liberal friends think I'm a conservative kook. My conservative friends think I'm a liberal kook. Why am I not happy that they have found common ground? Tim Wescott, Communications, Control, Circuits & Software http://www.wescottdesign.com
>I am looking for a chip with somekind of communication port inbuilt. I >am slowly planning a system where some data is sent from a computer to >be processed in the chip. > >Processing data is probably easy, but I prefer not to make a serial >port my self and an ethernet port is too much. But an Ethernet port >would be very nice to have. > >I would like to have a reasonably priced chip with low cost evaluation >board. And I need as many outputs I can get. Some time ago I was told >here about LCMXO2-1200ZE-B-EVN and others. It is a good kit/chip but >it doesn't have serial port logic built in. >
The Xilinx Spartan 6 has an in-built Ethernet MAC block, and there are various development boards available: http://www.xilinx.com/products/boards/s6conn/reference_designs.htm I have never used the Spartan 6, but have used the Ethernet MAC in a Virtex 5. There are many examples of serial port code on the Interwebs. The search keyword is UART. --------------------------------------- Posted through http://www.FPGARelated.com
On 23 Feb., 10:26, "RCIngham"
<robert.ingham@n_o_s_p_a_m.n_o_s_p_a_m.gmail.com> wrote:

> The Xilinx Spartan 6 has an in-built Ethernet MAC block, and there are > various development boards available:http://www.xilinx.com/products/boards/s6conn/reference_designs.htm >
Are you sure that you do not mix this up with the built-in PCIe- endpoint? I think for Ethernet you need a soft IP-core? Thomas
>On 23 Feb., 10:26, "RCIngham" ><robert.ingham@n_o_s_p_a_m.n_o_s_p_a_m.gmail.com> wrote: > >> The Xilinx Spartan 6 has an in-built Ethernet MAC block, and there are >> various development boards
available:http://www.xilinx.com/products/boards/s6conn/reference_designs.htm
>> > >Are you sure that you do not mix this up with the built-in PCIe- >endpoint? I think for Ethernet you need a soft IP-core? > >Thomas >
Opps! Good spot - but I did say that I hadn't used Spartan 6. The transceiver I/O are compatible with Gigabit Ethernet, however. Maybe PCIe will suit the OP better than Ethernet if (s)he can't afford the soft-core license. --------------------------------------- Posted through http://www.FPGARelated.com
> Asynchronous serial ports are dead easy if you use a fixed baud rate. > > They're so easy, in fact, that I can implement them successfully the > first time!! > > https://docs.google.com/open? > id=0B5lSHlBBxGvjY2JmODk1MDEtMGYyYy00MzNiLWE0MjUtMWU3YThjZDM1MTU1 >
Heh. This will help of course. Thanks. Serial port is a bit slow. Ethetnet port would be more flexible. Wlan, switches and so on.
>Maybe PCIe will suit the OP better than Ethernet if (s)he can't afford the >soft-core license.
What I know of PCIe it is only local, inside the computer. I planned to my device to be a separate box. Any license payment at this stage is too much. This is a bit of a hobby now and the millions of euros come later. Thank you for answers so far Leif
On Thu, 23 Feb 2012 08:27:35 -0800 (PST)
LM <sala.nimi@mail.com> wrote:

> > Asynchronous serial ports are dead easy if you use a fixed baud rate. > > > > They're so easy, in fact, that I can implement them successfully the > > first time!! > > > > https://docs.google.com/open? > > id=0B5lSHlBBxGvjY2JmODk1MDEtMGYyYy00MzNiLWE0MjUtMWU3YThjZDM1MTU1 > > > Heh. This will help of course. Thanks. > > Serial port is a bit slow. Ethetnet port would be more flexible. Wlan, > switches and so on. > > >Maybe PCIe will suit the OP better than Ethernet if (s)he can't afford the > >soft-core license. > What I know of PCIe it is only local, inside the computer. I planned > to my device to be a separate box. Any license payment at this stage > is too much. This is a bit of a hobby now and the millions of euros > come later. > > Thank you for answers so far > Leif
Do you actually need an FPGA, or could a fast enough general purpose microprocessor cover your needs? You can really get a lot of horsepower for pennies these days in a micro, and it comes with peripherals for Ethernet/UARTS/whathaveyou already built in. A lot of my designs use an FPGA together with an off-the-shelf micro, exactly so as not not have to worry about implementing things like Ethernet MACs in the FPGA. -- Rob Gaddi, Highland Technology -- www.highlandtechnology.com Email address domain is currently out of order. See above to fix.
On Thu, 23 Feb 2012 09:17:21 -0800, Rob Gaddi wrote:

> On Thu, 23 Feb 2012 08:27:35 -0800 (PST) LM <sala.nimi@mail.com> wrote: > >> > Asynchronous serial ports are dead easy if you use a fixed baud rate. >> > >> > They're so easy, in fact, that I can implement them successfully the >> > first time!! >> > >> > https://docs.google.com/open? >> > id=0B5lSHlBBxGvjY2JmODk1MDEtMGYyYy00MzNiLWE0MjUtMWU3YThjZDM1MTU1 >> > >> Heh. This will help of course. Thanks. >> >> Serial port is a bit slow. Ethetnet port would be more flexible. Wlan, >> switches and so on. >> >> >Maybe PCIe will suit the OP better than Ethernet if (s)he can't afford >> >the soft-core license. >> What I know of PCIe it is only local, inside the computer. I planned to >> my device to be a separate box. Any license payment at this stage is >> too much. This is a bit of a hobby now and the millions of euros come >> later. >> >> Thank you for answers so far >> Leif > > Do you actually need an FPGA, or could a fast enough general purpose > microprocessor cover your needs? You can really get a lot of horsepower > for pennies these days in a micro, and it comes with peripherals for > Ethernet/UARTS/whathaveyou already built in. > > A lot of my designs use an FPGA together with an off-the-shelf micro, > exactly so as not not have to worry about implementing things like > Ethernet MACs in the FPGA.
-- and, unless your Ethernet messaging is really low-level, boneheaded, and simplified, you're going to need a microprocessor to manage it anyway (no _way_ do you want to try to make an all-logic TCP/IP stack!). So you may as well get a micro that has on-board Ethernet (and serial, to boot). Why didn't I think of that? -- My liberal friends think I'm a conservative kook. My conservative friends think I'm a liberal kook. Why am I not happy that they have found common ground? Tim Wescott, Communications, Control, Circuits & Software http://www.wescottdesign.com
On Thu, 23 Feb 2012 08:27:35 -0800, LM wrote:

>> Asynchronous serial ports are dead easy if you use a fixed baud rate. >> >> They're so easy, in fact, that I can implement them successfully the >> first time!! >> >> https://docs.google.com/open? >> id=0B5lSHlBBxGvjY2JmODk1MDEtMGYyYy00MzNiLWE0MjUtMWU3YThjZDM1MTU1 >> > Heh. This will help of course. Thanks. > > Serial port is a bit slow. Ethetnet port would be more flexible. Wlan, > switches and so on. > >>Maybe PCIe will suit the OP better than Ethernet if (s)he can't afford >>the soft-core license. > What I know of PCIe it is only local, inside the computer. I planned to > my device to be a separate box. Any license payment at this stage is too > much. This is a bit of a hobby now and the millions of euros come later.
I recall reading an article on using ATA ports as real-time I/O. So if your box is close to, and dependent on, a computer, using a SATA port may be easy. But it sounds so perverse that I suspect that the times when it is the best solution are few. -- My liberal friends think I'm a conservative kook. My conservative friends think I'm a liberal kook. Why am I not happy that they have found common ground? Tim Wescott, Communications, Control, Circuits & Software http://www.wescottdesign.com
A lot depends on your concept of low cost and what you actually need.
The LCMXO2 PLD is a relatively limited device and has it's slot in the
CPLD and FPGA line up.

Our Pomaddie3 (http://www.enterpoint.co.uk/polmaddie/polmaddie3.html)
board is based on Spartan-3 but it's a nice balance of cost /
performance / I/O. That gets you 60 I/O with a simple USB serial port
based on a FTDI FT232.

Our Drigmorn3 (http://www.enterpoint.co.uk/drigmorn/drigmorn3.html) is
a possibility.

If you have more money a PCIe card like our (Raggedstone2
http://www.enterpoint.co.uk/raggedstone/raggedstone2.html) gets you
much more performance still with I/O.

We have some modules that will compliment Drigmorn and Raggedstone
boards and other ranges. On Ethernet we have a 10/100 Phy and 10/100
SPI controller solutions already available. A 10/100/1000 Phy solution
also coming soon as a module. It's on our testbech now. If you prefer
USB we have a FT4232 solution coming as well as a nice easy reasonable
performance interface.

John Adair
Enterpoint Ltd.


On Feb 22, 4:46=A0pm, LM <sala.n...@mail.com> wrote:
> I am looking for a chip with somekind of communication port inbuilt. I > am slowly planning a system where some data is sent from a computer to > be processed in the chip. > > Processing data is probably easy, but I prefer not to make a serial > port my self and an ethernet port is too much. But an Ethernet port > would be very nice to have. > > I would like to have a reasonably priced chip with low cost evaluation > board. And I need as many outputs I can get. Some time ago I was told > here about LCMXO2-1200ZE-B-EVN and others. It is a good kit/chip but > it doesn't have serial port logic built in.