FPGARelated.com
Forums

Switching clocks in Xilinx / Altera devices

Started by ALuPin July 26, 2004
Hi out there,

I have read an article about a clock multiplexer BUFGMUX which is involved
in the VIRTEX II devices.

Did somebody have any experience with that mux ?

Is there something comparable in Altera Stratix/Cyclone devices ?


I would appreciate any info.

Thank you.