FPGARelated.com
Forums

RISC-V Support in FPGA

Started by rickman April 28, 2017
On Mon, 01 May 2017 15:42:03 -0700, Kevin Neilson wrote:

>> That's typical of open-source projects: functionality is fun; good >> documentation is at least as time-consuming as good code (HDL or >> software), and documentation-writing isn't nearly as fun. >> >> For cutting-edge open-source stuff the key to success is to find the >> web forum or mailing list that covers the subject, and to start asking >> questions. I suppose that if I won the lottery and didn't get seduced >> by Tahiti I'd pick a project and just write documentation. >> > I suppose this is one reason that Octave works so well for me: when I > have a question, I consult the Matlab documentation. That makes feel a > little guilty. I've found Octave is actually faster on a lot of my > scripts though. > > Sadly, when you mentioned Tahiti, I was trying to figure out if that was > the name of some obscure HDL or open-source project. It took me a > minute to figure out you meant the place where Gaugin hung out. Yeah, > that might be better than writing documentation.
Or maybe project TAHITI from Agent's of S.H.I.E.L.D. -- Tim Wescott Wescott Design Services http://www.wescottdesign.com I'm looking for work -- see my website!
> I don't know how small the RISC-V can be made. I know there is a > version designed in an ASIC that can compete with the ARM CPUs and there > are more than one version for FPGAs. I would hope they had a version > similar to the ARM CM-1 which is specifically targeted to programmable > logic and not overly large.
Speaking of ARM, I still can't figure out how ARM was acquired for $32B. If even a student can make a synthesizable 32-bit processor in a few weeks, how much value can there be in a processor? It's almost a commodity. I know there is a lot of value in prediction pipelines, cache logic, compilers, etc., but not $32b' worth.
On Mon, 01 May 2017 16:07:02 -0700, Kevin Neilson wrote:

>> I don't know how small the RISC-V can be made. I know there is a >> version designed in an ASIC that can compete with the ARM CPUs and >> there are more than one version for FPGAs. I would hope they had a >> version similar to the ARM CM-1 which is specifically targeted to >> programmable logic and not overly large. > > Speaking of ARM, I still can't figure out how ARM was acquired for $32B. > If even a student can make a synthesizable 32-bit processor in a few > weeks, how much value can there be in a processor? It's almost a > commodity. I know there is a lot of value in prediction pipelines, > cache logic, compilers, etc., but not $32b' worth.
So, maybe the people who SOLD it are laughing their way to the bank. ARM processor variants have a huge installed base -- I suspect that went a long way to justifying the $32B. But, if ST started offering parts with the RISC-V core tomorrow, at a better price, I'd switch. -- Tim Wescott Wescott Design Services http://www.wescottdesign.com I'm looking for work -- see my website!
On 05/01/2017 04:46 PM, Tim Wescott wrote:
> On Mon, 01 May 2017 16:07:02 -0700, Kevin Neilson wrote: > >>> I don't know how small the RISC-V can be made. I know there is a >>> version designed in an ASIC that can compete with the ARM CPUs and >>> there are more than one version for FPGAs. I would hope they had a >>> version similar to the ARM CM-1 which is specifically targeted to >>> programmable logic and not overly large. >> >> Speaking of ARM, I still can't figure out how ARM was acquired for $32B. >> If even a student can make a synthesizable 32-bit processor in a few >> weeks, how much value can there be in a processor? It's almost a >> commodity. I know there is a lot of value in prediction pipelines, >> cache logic, compilers, etc., but not $32b' worth. > > So, maybe the people who SOLD it are laughing their way to the bank. > > ARM processor variants have a huge installed base -- I suspect that went > a long way to justifying the $32B. But, if ST started offering parts > with the RISC-V core tomorrow, at a better price, I'd switch. >
You would. I probably wouldn't, having a larger team to drag around and all of the associated infrastructure. But the cell phone companies, with all that already written codebase and 10s of millions of units sold per year? Not a chance they do. That's billions of dollars of inertia. -- Rob Gaddi, Highland Technology -- www.highlandtechnology.com Email address domain is currently out of order. See above to fix.
On 05/01/2017 04:07 PM, Kevin Neilson wrote:
>> I don't know how small the RISC-V can be made. I know there is a >> version designed in an ASIC that can compete with the ARM CPUs and there >> are more than one version for FPGAs. I would hope they had a version >> similar to the ARM CM-1 which is specifically targeted to programmable >> logic and not overly large. > > Speaking of ARM, I still can't figure out how ARM was acquired for $32B. If even a student can make a synthesizable 32-bit processor in a few weeks, how much value can there be in a processor? It's almost a commodity. I know there is a lot of value in prediction pipelines, cache logic, compilers, etc., but not $32b' worth. >
The probably have a pretty good revenue stream. I don't remember what they get per processor instance, but pretty much all the major semiconductor houses, and several of the fabless ones are shipping products with ARM processors in them. They are showing up in all kinds of ASICs as well. Also, coding a synthesizable, 32 bit processor is only the beginning. Verifying it, implementing a silicon validation suite, getting compiler and debugger support and getting all of that stable and accepted are pretty big tasks. ARM has been at this project for a LONG time (early to mid 90's that I know of, maybe longer). That's a lot of customer experience. Having a uniform (fairly, anyway) ecosystem on multiple vendors is worth some to me. I like that the I/O, Interrupt, power control and clock generation stuff is at least recognizable from vendor to vendor. I can't say whether it is all worth $32B, but I guess it was to somebody... BobH
On Mon, 01 May 2017 17:15:01 -0700, Rob Gaddi wrote:

> On 05/01/2017 04:46 PM, Tim Wescott wrote: >> On Mon, 01 May 2017 16:07:02 -0700, Kevin Neilson wrote: >> >>>> I don't know how small the RISC-V can be made. I know there is a >>>> version designed in an ASIC that can compete with the ARM CPUs and >>>> there are more than one version for FPGAs. I would hope they had a >>>> version similar to the ARM CM-1 which is specifically targeted to >>>> programmable logic and not overly large. >>> >>> Speaking of ARM, I still can't figure out how ARM was acquired for >>> $32B. >>> If even a student can make a synthesizable 32-bit processor in a few >>> weeks, how much value can there be in a processor? It's almost a >>> commodity. I know there is a lot of value in prediction pipelines, >>> cache logic, compilers, etc., but not $32b' worth. >> >> So, maybe the people who SOLD it are laughing their way to the bank. >> >> ARM processor variants have a huge installed base -- I suspect that >> went a long way to justifying the $32B. But, if ST started offering >> parts with the RISC-V core tomorrow, at a better price, I'd switch. >> >> > You would. I probably wouldn't, having a larger team to drag around and > all of the associated infrastructure. > > But the cell phone companies, with all that already written codebase and > 10s of millions of units sold per year? Not a chance they do. That's > billions of dollars of inertia.
I probably have 20 lines of ARM assembly written, and in retrospect that could just as well be carefully-crafted C. Assuming that FreeRTOS makes a port, everything else is C or C++, and could just be compiled for the new target. I don't know about the cell phone companies -- are they really that heavily invested in processor-specific stuff? -- Tim Wescott Wescott Design Services http://www.wescottdesign.com I'm looking for work -- see my website!
On 5/1/2017 11:45 AM, Robert F. Jarnot wrote:
> Pretty small (and fast): > https://forums.xilinx.com/t5/Xcell-Daily-Blog/1680-open-source-ISA-RISC-V-processor-cores-run-on-one-Virtex/ba-p/742731
This design has processors plus other interconnecting logic. Hard to say how much is processor. Taking it all as processor gives around 1.54 kLCs per processor. There are lots of processors that are much smaller than this. I don't see *any* info on the speed of these processors, so I don't know what the "fast" claim is based on. -- Rick C
On 5/1/2017 7:07 PM, Kevin Neilson wrote:
>> I don't know how small the RISC-V can be made. I know there is a >> version designed in an ASIC that can compete with the ARM CPUs and there >> are more than one version for FPGAs. I would hope they had a version >> similar to the ARM CM-1 which is specifically targeted to programmable >> logic and not overly large. > > Speaking of ARM, I still can't figure out how ARM was acquired for $32B. If even a student can make a synthesizable 32-bit processor in a few weeks, how much value can there be in a processor? It's almost a commodity. I know there is a lot of value in prediction pipelines, cache logic, compilers, etc., but not $32b' worth.
The value of a company is not directly related to technology. There are many ways to make a buck and making a buck is what the value of a company is about. Anyone can make hamburgers, but McDonalds seems to have done well over the decades. -- Rick C
On 5/1/2017 8:42 PM, Tim Wescott wrote:
> On Mon, 01 May 2017 17:15:01 -0700, Rob Gaddi wrote: > >> On 05/01/2017 04:46 PM, Tim Wescott wrote: >>> On Mon, 01 May 2017 16:07:02 -0700, Kevin Neilson wrote: >>> >>>>> I don't know how small the RISC-V can be made. I know there is a >>>>> version designed in an ASIC that can compete with the ARM CPUs and >>>>> there are more than one version for FPGAs. I would hope they had a >>>>> version similar to the ARM CM-1 which is specifically targeted to >>>>> programmable logic and not overly large. >>>> >>>> Speaking of ARM, I still can't figure out how ARM was acquired for >>>> $32B. >>>> If even a student can make a synthesizable 32-bit processor in a few >>>> weeks, how much value can there be in a processor? It's almost a >>>> commodity. I know there is a lot of value in prediction pipelines, >>>> cache logic, compilers, etc., but not $32b' worth. >>> >>> So, maybe the people who SOLD it are laughing their way to the bank. >>> >>> ARM processor variants have a huge installed base -- I suspect that >>> went a long way to justifying the $32B. But, if ST started offering >>> parts with the RISC-V core tomorrow, at a better price, I'd switch. >>> >>> >> You would. I probably wouldn't, having a larger team to drag around and >> all of the associated infrastructure. >> >> But the cell phone companies, with all that already written codebase and >> 10s of millions of units sold per year? Not a chance they do. That's >> billions of dollars of inertia. > > I probably have 20 lines of ARM assembly written, and in retrospect that > could just as well be carefully-crafted C. Assuming that FreeRTOS makes > a port, everything else is C or C++, and could just be compiled for the > new target.
Assembly language code is not the only way to be wedded to an architecture. There are lots of C code written to manage the CPU and tightly coupled functionality not to mention optimizing code for maximum performance in an architecture. Then there is the effort required to optimize the tools. Yes, it is easy to port tools, but to get them honed for optimal usage requires a lot more effort. I doubt that has been done for the RISC-V as yet. -- Rick C
On 02/05/17 03:46, rickman wrote:
> On 5/1/2017 8:42 PM, Tim Wescott wrote: >> On Mon, 01 May 2017 17:15:01 -0700, Rob Gaddi wrote: >> >>> On 05/01/2017 04:46 PM, Tim Wescott wrote: >>>> On Mon, 01 May 2017 16:07:02 -0700, Kevin Neilson wrote: >>>> >>>>>> I don't know how small the RISC-V can be made. I know there is a >>>>>> version designed in an ASIC that can compete with the ARM CPUs and >>>>>> there are more than one version for FPGAs. I would hope they had a >>>>>> version similar to the ARM CM-1 which is specifically targeted to >>>>>> programmable logic and not overly large. >>>>> >>>>> Speaking of ARM, I still can't figure out how ARM was acquired for >>>>> $32B. >>>>> If even a student can make a synthesizable 32-bit processor in a few >>>>> weeks, how much value can there be in a processor? It's almost a >>>>> commodity. I know there is a lot of value in prediction pipelines, >>>>> cache logic, compilers, etc., but not $32b' worth. >>>> >>>> So, maybe the people who SOLD it are laughing their way to the bank. >>>> >>>> ARM processor variants have a huge installed base -- I suspect that >>>> went a long way to justifying the $32B. But, if ST started offering >>>> parts with the RISC-V core tomorrow, at a better price, I'd switch. >>>> >>>> >>> You would. I probably wouldn't, having a larger team to drag around and >>> all of the associated infrastructure. >>> >>> But the cell phone companies, with all that already written codebase and >>> 10s of millions of units sold per year? Not a chance they do. That's >>> billions of dollars of inertia. >> >> I probably have 20 lines of ARM assembly written, and in retrospect that >> could just as well be carefully-crafted C. Assuming that FreeRTOS makes >> a port, everything else is C or C++, and could just be compiled for the >> new target. > > Assembly language code is not the only way to be wedded to an > architecture. There are lots of C code written to manage the CPU and > tightly coupled functionality not to mention optimizing code for maximum > performance in an architecture. Then there is the effort required to > optimize the tools. Yes, it is easy to port tools, but to get them > honed for optimal usage requires a lot more effort. I doubt that has > been done for the RISC-V as yet. >
A sizeable part of that is hidden in the three key components - the OS kernel, the basic libraries, and the compiler. The huge majority of the code on a telephone is cpu agnostic. Most of it got bumped from 32-bit ARM to 64-bit ARM without much bother, and the 32 to 64 bit jump is often a bigger port issue than moving between different 32-bit architectures. I don't know if the current state of these RISC-V tools are good enough, however - I believe the Linux port of RISC-V is quite new, and the gcc port has just been redone. For the big customers, they will want to see a bit of maturity before considering RISC-V. For us mere mortals, however, RISC-V is a great idea. If nothing else, it gives ARM some much-needed competition (which should have come from MIPS).