FPGARelated.com
Forums

ModelSim

Started by Brad Smallridge November 16, 2004
I launch Modelsim from the Xilinx IDE.  I would like it run all the way 
through, to a wait; statement in the testbench. How do I do that?  In fact, 
since my testbed outputs to text files, I would rather not see the ModelSim 
workings at all, and would rather just stay in the Xilinx IDE.

Brad Smallridge



"Brad Smallridge" <bradsmallridge@dslextreme.com> wrote in message
news:10pl8guj9m2qgb4@corp.supernews.com...
> I launch Modelsim from the Xilinx IDE. I would like it run all the
way
> through, to a wait; statement in the testbench. How do I do that?
In fact,
> since my testbed outputs to text files, I would rather not see the
ModelSim
> workings at all, and would rather just stay in the Xilinx IDE. > > Brad Smallridge > > >
You can create a custom do file and tell ISE about that. Alternatively if you just want to run to the end, replace the option that says "run timevalue" with "run -all". You can just put "run -all" in the dialogue box in the properties for the process in ISE that launches Modelsim, regards Alan -- Alan Fitch Consultant DOULOS - Developing Design Know-how VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK Tel: +44 (0)1425 471223 mail: alan.fitch@doulos.com Fax: +44 (0)1425 471573 Web: http://www.doulos.com The contents of this message may contain personal views which are not the views of Doulos Ltd., unless specifically stated.