FPGARelated.com
Forums

Using EDK libraries in ISE

Started by Harish December 23, 2004
Hello all,

How can we simulate the EDK IP cores in ISE? I created a new project in
ISE and copied the vhdl files that comes with EDK onto a new file and
tried to synthesize it. However the synthesis failed as the library
referred to in the design was not seen by ISE. I'm trying to synthesize
an OPB ZBT controller. Can anyone tell me how to overcome this?

Thanks