FPGARelated.com
Forums

Xilinx ISE 7.1 - Can this get any worse?

Started by Unknown March 21, 2005
I got my ISE 7.1 update DHL'd to me this morning, here is my experience
so far

A problem...

I tried to install 7.1 in the same place as 6.3 (after accepting the
de-install option) c:\program files\xilinx, but it didn't work, the
installer displayed cryptic error messages about the disk being full -
it wasn't.

Okay so I'll try c:\programs\xilinx - this worked. Xilinx take note -
if you no longer support spaces in file name THEN WHY DO YOU ALLOW ME
TO ENTER THIS INTO IN THE FIRST PLACE?

Disapointment...

So now I've go it installed, and I'm hoping when I run it maybe they
will have upgrade the UI since this is a major version upgrade. Whay do
I find ? They've made the UI EVEN WORSE, THEY'VE ADDED EXTRA CLUNK! Yes
ISE users, you know what I mean, the user interface looks like an
application from the Window 3.1 era, but somehow they've made it look
even worse!

One example, now we have lovely icons to remind us the meaning of
'Errors' and 'Warnings' on the tabs for the messages window - they
weren't there in in 6.3.

Okay so looks aren't everything. So maybe this release they've updated
the menu to show a function key shortcut for commonly used actions,
such as process|re-run, it would be nice just to press F5 or something
for this.

Have they done this? No. Maybe I'll check the help just in case. Select
help from the menu, select search, okay so where do I type in my search
word? This just looks like an index to me not a search facility !
ARGGGGG

Okay, I could go on and on about the lack of usability.  Perhaps coming
from a programming background I've just been spoilt by wonderful IDE's
like IntelliJ IDEA that have been designed with the engineer in mind.

I use the ISE Web Version at the moment, but I really don't ever want
to shell out $2,500 for the Foundation version given my opinion of this
software.... are there other alternatives around the same price
bracket?

Andy.

Hi Andy,

> I use the ISE Web Version at the moment, but I really don't ever want > to shell out $2,500 for the Foundation version given my opinion of this > software.... are there other alternatives around the same price > bracket?
Try out Quartus II. You can download the free version (called "Web Edition") from our website www.altera.com. The Quartus GUI is generally pretty easy-to-use and has the look-and-feel of a modern Windows application. If you need help understanding the Altera flow, see AN307: Altera Design Flow for Xilinx Users (http://www.altera.com/literature/an/an307.pdf). Also useful is the Xilinx to Altera design migration website (http://www.altera.com/products/software/switching/x/qts-x2a_migration.html). Regards, Paul Leventis Altera Corp.
When I purchased a development kit for my own projects, the development
software played as much a factor in my decision as the target device.
What it came down to was, me asking myself, what is going to effect my
design more, the device or the design software? No matter what the
specs are on the device if the design software had short comings, flaws
or limited what I could do with the device, the target device no longer
mattered as much.

Searching this group I found users having problems installing and
maintaing their development environment. Xilinx tech support seemed to
help them find a solution but in the process how do you get back the
time that you spent fixing your environment - some of these engineers
had spent 1 -3 days fixing their environment.

Going back to something my grandfather taught me, "Don't fix something
that isn't broken." In the software world is if you have a working
environment and the software vendor comes out with a new release don't
upgrade unless there is a feature that you can't live without. Let the
people who need the new features get burned by the new releases. Wait 2
-3 months for fixes and services packs come out to fix issues with a
new release.

Some of this is just plain common sense but find people today ignore
the obvious.

Derek

Paul Leventis (at home) wrote:
> Hi Andy, > > >>I use the ISE Web Version at the moment, but I really don't ever want >>to shell out $2,500 for the Foundation version given my opinion of this >>software.... are there other alternatives around the same price >>bracket? > > > Try out Quartus II. You can download the free version (called "Web > Edition") from our website www.altera.com. The Quartus GUI is generally > pretty easy-to-use and has the look-and-feel of a modern Windows > application. >
does it support V II Pro and the likes ? (nope, i don't mean migration;o) sorry, couldn't resist ;o) l
If you create the device files, it does.

Don't worry I have a sense of humor.


I too dislike the user interfaces of todays leading FPGA
providers. I don't think that either A or X have a useful
or productive tool.

BUT, what works for me very well, is to understand the flow,
and use makefiles and scripts to drive the command line tools.
I have been very successful with this method. My development
cycle looks like this: 1) create a deign in verilog (including
verification); 2) edit ucf file; 3) run my script to create a
bit file from my rtl; 4) bring up FPGA.

Once in a blue moon I still start up xps (EDK) to configure
an SoC. But I usually just use the tools for the basic build,
and than manually edit the appropriate configuration files,
and rerun gmake ...

Cheers,
rudi
=============================================================
Rudolf Usselmann,  ASICS World Services,  http://www.asics.ws
Your Partner for IP Cores, Design, Verification and Synthesis
"Paul Leventis \(at home\)" <paulleventis-news@yahoo.ca> wrote in message news:<Wr-dnbXaVOLeuaLfRVn-ow@rogers.com>...
> > Try out Quartus II. You can download the free version (called "Web > Edition") from our website www.altera.com. The Quartus GUI is generally > pretty easy-to-use and has the look-and-feel of a modern Windows > application. > > > Paul Leventis > Altera Corp.
Not that I expect any different from the group Altera pusher, but have you ever tried ISE??? I can't believe that even you would even suggest Quartus, it is just as backwards as the ISE interface!
Hi Big,

    We'd like to hear your view about how Quartus can be made better for 
your needs.

- Subroto Datta
Altera Corp.

<big_in_russia@yahoo.com> wrote in message 
news:d173f329.0503221256.2054797b@posting.google.com...
> "Paul Leventis \(at home\)" <paulleventis-news@yahoo.ca> wrote in message > news:<Wr-dnbXaVOLeuaLfRVn-ow@rogers.com>... >> >> Try out Quartus II. You can download the free version (called "Web >> Edition") from our website www.altera.com. The Quartus GUI is generally >> pretty easy-to-use and has the look-and-feel of a modern Windows >> application. >> >> >> Paul Leventis >> Altera Corp. > > Not that I expect any different from the group Altera pusher, but have > you ever tried ISE??? > > I can't believe that even you would even suggest Quartus, it is just > as backwards as the ISE interface!
I agree with script based design flow.  In fact, once you have
tried it, you will never ... ever ... go back to gui.  The backend
Xilinx flow (ngdbuild ... map .... par .... bitgen) have not changed
in years.  Individual tool options have obviously to match new
architectures.

In a shameless plug of my website, I have a dos based script
avaiable for download.  It accepts command line two command
line options ... name of the base design.edf and the revision
number.  It uses the revision number as an appendix for
final output of filename, and pretty importantly, uses to fill
in the user ID as a bitgen option.  This is a quick way of
scanning a device chain an determining revision levels of
on board devices.

Key point though is that these script based approach defines
the design flow and synthesis parameters in a way which is
absolutely portable and self-documenting, are frees user
from the vagaries of GUI releases.

-- 
Regards,
John Retta
Owner and Designer
Retta Technical Consulting Inc.

A Colorado based Xilinx design consultant.

email : jretta@rtc-inc.com
web :  www.rtc-inc.com


"Rudolf Usselmann" <russelmann@hotmail.com> wrote in message
news:d1pai3$3vb$1@nobel.pacific.net.sg...
> > > I too dislike the user interfaces of todays leading FPGA > providers. I don't think that either A or X have a useful > or productive tool. > > BUT, what works for me very well, is to understand the flow, > and use makefiles and scripts to drive the command line tools. > I have been very successful with this method. My development > cycle looks like this: 1) create a deign in verilog (including > verification); 2) edit ucf file; 3) run my script to create a > bit file from my rtl; 4) bring up FPGA. > > Once in a blue moon I still start up xps (EDK) to configure > an SoC. But I usually just use the tools for the basic build, > and than manually edit the appropriate configuration files, > and rerun gmake ... > > Cheers, > rudi > ============================================================= > Rudolf Usselmann, ASICS World Services, http://www.asics.ws > Your Partner for IP Cores, Design, Verification and Synthesis
I am still waiting on the latest ISE to show up so I can't comment.  I
will say that I like the new Quartus software, although I do not use
anything but text entry, so most of my work is done in Multiedit for
both tools.