FPGARelated.com
Forums

Xilinx multiplier out of slices

Started by Peter Sommerfeld April 22, 2005
Hi,

I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise
the following statement:

y <= a * b;

to NOT use dedicated multipliers?

Thanks a bunch, Pete

Pete,
Synplify uses an attribute called syn_multsyle. I know this doesn't answer
your question but it might help in your google searches?
Good luck, Syms.
"Peter Sommerfeld" <psommerfeld@gmail.com> wrote in message
news:1114204591.770429.197140@z14g2000cwz.googlegroups.com...
> Hi, > > I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise > the following statement: > > y <= a * b; > > to NOT use dedicated multipliers? > > Thanks a bunch, Pete >
Synplify counts the number of multiplier uses and and if
you overflow the available resources, then it puts the
smaller mults into the logic fabric.   So you do not
normally need to use the syn_multstyle attribute.   If you
are doing bottom up design there is an available resources
attribute that lets you set the budgets for your block.

Ken McElvain
Synplicity, Inc.


Symon wrote:

> Pete, > Synplify uses an attribute called syn_multsyle. I know this doesn't answer > your question but it might help in your google searches? > Good luck, Syms. > "Peter Sommerfeld" <psommerfeld@gmail.com> wrote in message > news:1114204591.770429.197140@z14g2000cwz.googlegroups.com... > >>Hi, >> >>I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise >>the following statement: >> >>y <= a * b; >> >>to NOT use dedicated multipliers? >> >>Thanks a bunch, Pete >> > > >
On 22 Apr 2005 14:16:31 -0700, "Peter Sommerfeld"
<psommerfeld@gmail.com> wrote:

>Hi, > >I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise >the following statement: > >y <= a * b; > >to NOT use dedicated multipliers? >
Attribute mult_style:String; Attribute mult_style of y : signal is "lut"; (I believe you can apply the attribute to component or label names too) - Brian
Hello
> > I'm new to the Xilinx tools. How do I tell Xilinx ISE 7.1 to synthesise > the following statement: > > y <= a * b; > > to NOT use dedicated multipliers?
If you have coregen, use it for generate multiplier core and set it, not to use multipliers. If you haven't mhm... Jerzy Gbur