FPGARelated.com
Forums

Which Simulators

Started by gallen May 17, 2005
I'm sure this kind of things has come up in the past, but given that
things change, I'd like to throw this out there.

Which simulators do people like to use for their HDL purposes?

I have tried a couple of simulators and I was curious about peoples
recommendations.

I have used Modelsim XE starter for my purposes (I am just a hobbyest
now), icarus verilog and GPL cver.  I have used the built-in quartus
simulator as well.

So a couple questions regarding these.  Which simulators do people
consider feature complete?  Why do I never hear about cver in this
group?  Does nobody use it?  If not, why?  What's really wrong with
Modelsim.  People seem faily opposed to it.  They say the error
messages are bad, but I certainly feel that icarus error messages are
worse.

Also, I haven't really discussed VHDL.  Which are best for this?  I've
heard GHDL is pretty good.

I've mostly discussed free simulators, but I'm also interested in how
expensive simulators compare to the free sims.

-Arlen

gallen wrote:
> I'm sure this kind of things has come up in the past
It has. See: http://groups-beta.google.com/groups?q=fpga+recommend+simulator -- Mike Treseler
I would concur. We do exactly the same with a combination of licenses from 
these two vendors. ActiveHDL is very good at sorting file order out 
automatically and has in-built libraries that you don't need to compile to 
get going. The detachable waveform windows are very nice especially when you 
run multi-monitor machines like we do at Enterpoint. The cut and paste 
between these windows is also useful.

In the last couple of years Mentor have improved the user interface of 
Modelsim and I hope they will continue the trend. I think they got a bit 
complacent. Five years ago I would have said Modelsim was the best but now 
I'm not so sure.

Both these companies give out evaluation licenses so go try them out and see 
which you like best.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk


"Ray Andraka" <ray@andraka.com> wrote in message 
news:uxyie.11092$jj.3577@lakeread06...
>I use a combination of Aldec and Modelsim PE. Aldec is more user friendly >and is really a complete design entry suite where Modelsim is a simulator. >I prefer Aldec for ease of use. Some customers insist on Modelsim, so I >have it. Modelsim is about the fussiest VHDL parser you'll find, and it is >absolutely true to the LRM, so it does serve as a decent VHDL code check to >make sure you aren't doing something that is slipping past your other tools >but might get you in trouble down the road. It is also useful to run on a >second machine while I'm, doing more design entry on Aldec. If I were to >buy just one, my choice would be Aldec for the more complete design entry >suite, the better customer support and the lower price. > > -- > --Ray Andraka, P.E. > President, the Andraka Consulting Group, Inc. > 401/884-7930 Fax 401/884-7950 > email ray@andraka.com http://www.andraka.com > "They that give up essential liberty to obtain a little temporary safety > deserve neither liberty nor safety." > -Benjamin Franklin, 1759 > >
I use a combination of Aldec and Modelsim PE.  Aldec is more user 
friendly and is really a complete design entry suite where Modelsim is a 
simulator.  I prefer Aldec for ease of use.  Some customers insist on 
Modelsim, so I have it.  Modelsim is about the fussiest VHDL parser 
you'll find, and it is absolutely true to the LRM, so it does serve as a 
decent VHDL code check to make sure you aren't doing something that is 
slipping past your other tools but might get you in trouble down the 
road.  It is also useful to run on a second machine while I'm, doing 
more design entry on Aldec.  If I were to buy just one, my choice would 
be Aldec for the more complete design entry suite, the better customer 
support and the lower price.

-- 
--Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email ray@andraka.com  
http://www.andraka.com  

 "They that give up essential liberty to obtain a little 
  temporary safety deserve neither liberty nor safety."
                                          -Benjamin Franklin, 1759


In article <1116360522.339918.256230@o13g2000cwo.googlegroups.com>,
gallen <arlencox@gmail.com> wrote:
>I'm sure this kind of things has come up in the past, but given that >things change, I'd like to throw this out there. > >Which simulators do people like to use for their HDL purposes?
I've been using GHDL quite a lot lately.
> >I have tried a couple of simulators and I was curious about peoples >recommendations. > >I have used Modelsim XE starter for my purposes (I am just a hobbyest >now), icarus verilog and GPL cver. I have used the built-in quartus >simulator as well.
> >Also, I haven't really discussed VHDL. Which are best for this? I've >heard GHDL is pretty good.
I'm not sure how 'feature complete' GHDL is (if you define 'feature complete' to mean language features). I haven't run into any problems lately (I'm currently using the latest 0.18 release), but that doesn't mean that problems don't exist. If you count a frontend GUI as part of being 'feature complete' then it's probably not what you're looking for. Personally, for my useage, a GUI often gets in the way. I prefer to write scripts or Makefiles to compile/simulate my designs, though, so for me it's a feature. Also, I've been doing some mixed-language modelling (part of my algorithm is writen in C and part in VHDL, the two communicate via pipes) so the fact that GHDL is just another frontend to GCC is a big plus since it's easy to write foreign models in C and link them to the testbench. The open source nature of GHDL is helpful for this mixed-language approach since I can always look at the source to figure out some of its internals if need be.
> >I've mostly discussed free simulators, but I'm also interested in how >expensive simulators compare to the free sims
The author of GHDL readily admits that it's not as fast as Modelsim (used to be considered the fastest VHDL simulator - is it still?). But GHDL is free, so if you have a cluster of machines simulating your designs you don't need to buy a license for each machine. And since PCs running Linux are way cheaper than ModelSim licenses, if you need better performance you can just add more PCs to your cluster. Also, I suspect that there is still a lot of room for performance improvements in GHDL - the author has been concentrating on features and bug fixes so far; he has said that he would concentrate more on performance later. Phil
I think the best commercial simulator is by far Cadence's ncsim. This
can support verilog or vhdl or both. I know it is relatively new to the
FPGA simulation world, but is supported in Xilinx's ISE now. I have
used it extensively for verilog HDL development, and found it extremely
fast, has good, intelligent syntax/error messages, and a fantastic GUI
(modelsim's gui really gets on my nerves!). (it also allows features
such as tracing the source of an X on a net (schematically), which is
not one I have seen in other simulators.
I dont know if it is faster than modelsim, (I've never compared them)
but it definatly feels slicker. As for feature complete - I'm guessing
you mean language coverage? - I dont know about VHDL, but I always code
in verilog-2001, and have never seen it unable to handle these "new"
constructs.
I've tried ModelSim, Virsim, Verilog-XL, ncsim (ncverilog), and without
doubt ncverilog wins. It does take a little getting used to, but it's
more than worth it!



gallen wrote:
> I'm sure this kind of things has come up in the past, but given that > things change, I'd like to throw this out there. > > Which simulators do people like to use for their HDL purposes? > > I have tried a couple of simulators and I was curious about peoples > recommendations. > > I have used Modelsim XE starter for my purposes (I am just a hobbyest > now), icarus verilog and GPL cver. I have used the built-in quartus > simulator as well. > > So a couple questions regarding these. Which simulators do people > consider feature complete? Why do I never hear about cver in this > group? Does nobody use it? If not, why? What's really wrong with > Modelsim. People seem faily opposed to it. They say the error > messages are bad, but I certainly feel that icarus error messages are > worse. > > Also, I haven't really discussed VHDL. Which are best for this?
I've
> heard GHDL is pretty good. > > I've mostly discussed free simulators, but I'm also interested in how > expensive simulators compare to the free sims. > > -Arlen
Which version of Modelsim?  There is a feature in (or available for) SE that 
lets you chase down those X's.

Sorry, I haven't used ncsim.  (Years ago, I did use something from them. 
It's been so long, I don't remember much about it.)

Jason

"John McGrath" <tails4e@gmail.com> wrote in message 
news:1116468039.094501.120150@g14g2000cwa.googlegroups.com...
>I think the best commercial simulator is by far Cadence's ncsim. This > can support verilog or vhdl or both. I know it is relatively new to the > FPGA simulation world, but is supported in Xilinx's ISE now. I have > used it extensively for verilog HDL development, and found it extremely > fast, has good, intelligent syntax/error messages, and a fantastic GUI > (modelsim's gui really gets on my nerves!). (it also allows features > such as tracing the source of an X on a net (schematically), which is > not one I have seen in other simulators. > I dont know if it is faster than modelsim, (I've never compared them) > but it definatly feels slicker. As for feature complete - I'm guessing > you mean language coverage? - I dont know about VHDL, but I always code > in verilog-2001, and have never seen it unable to handle these "new" > constructs. > I've tried ModelSim, Virsim, Verilog-XL, ncsim (ncverilog), and without > doubt ncverilog wins. It does take a little getting used to, but it's > more than worth it! > > > > gallen wrote: >> I'm sure this kind of things has come up in the past, but given that >> things change, I'd like to throw this out there. >> >> Which simulators do people like to use for their HDL purposes? >> >> I have tried a couple of simulators and I was curious about peoples >> recommendations. >> >> I have used Modelsim XE starter for my purposes (I am just a hobbyest >> now), icarus verilog and GPL cver. I have used the built-in quartus >> simulator as well. >> >> So a couple questions regarding these. Which simulators do people >> consider feature complete? Why do I never hear about cver in this >> group? Does nobody use it? If not, why? What's really wrong with >> Modelsim. People seem faily opposed to it. They say the error >> messages are bad, but I certainly feel that icarus error messages are >> worse. >> >> Also, I haven't really discussed VHDL. Which are best for this? > I've >> heard GHDL is pretty good. >> >> I've mostly discussed free simulators, but I'm also interested in how >> expensive simulators compare to the free sims. >> >> -Arlen >
Hi,
I saw your post about ncsim. I was used to modelsim and really liked it
but I have to use ncsim now. I wonder how you use it. You say the GUI
is very good, so I wonder how you launch it and run it. I assume you
launch it from the command line. What arguments are you using? It would
help me if you can simply give me a general idea of your flow.

Thank you very much,
David

John McGrath wrote:
> I think the best commercial simulator is by far Cadence's ncsim. This > can support verilog or vhdl or both. I know it is relatively new to
the
> FPGA simulation world, but is supported in Xilinx's ISE now. I have > used it extensively for verilog HDL development, and found it
extremely
> fast, has good, intelligent syntax/error messages, and a fantastic
GUI
> (modelsim's gui really gets on my nerves!). (it also allows features > such as tracing the source of an X on a net (schematically), which is > not one I have seen in other simulators. > I dont know if it is faster than modelsim, (I've never compared them) > but it definatly feels slicker. As for feature complete - I'm
guessing
> you mean language coverage? - I dont know about VHDL, but I always
code
> in verilog-2001, and have never seen it unable to handle these "new" > constructs. > I've tried ModelSim, Virsim, Verilog-XL, ncsim (ncverilog), and
without
> doubt ncverilog wins. It does take a little getting used to, but it's > more than worth it! > > > > gallen wrote: > > I'm sure this kind of things has come up in the past, but given
that
> > things change, I'd like to throw this out there. > > > > Which simulators do people like to use for their HDL purposes? > > > > I have tried a couple of simulators and I was curious about peoples > > recommendations. > > > > I have used Modelsim XE starter for my purposes (I am just a
hobbyest
> > now), icarus verilog and GPL cver. I have used the built-in
quartus
> > simulator as well. > > > > So a couple questions regarding these. Which simulators do people > > consider feature complete? Why do I never hear about cver in this > > group? Does nobody use it? If not, why? What's really wrong with > > Modelsim. People seem faily opposed to it. They say the error > > messages are bad, but I certainly feel that icarus error messages
are
> > worse. > > > > Also, I haven't really discussed VHDL. Which are best for this? > I've > > heard GHDL is pretty good. > > > > I've mostly discussed free simulators, but I'm also interested in
how
> > expensive simulators compare to the free sims. > > > > -Arlen