FPGARelated.com
Forums

Quartus help with package declaration

Started by Pratip Mukherjee October 12, 2003
Hi,
Using QuartusII 3.0, I have a VHDL file with a package declaration. From a 
VHDL file in a project I can see the declarations in the pacakage by using
use work.<package name>.all;
But from another VHDL file in the same project, the same 'use' line gives 
the following error:
Error: VHDL Use Clause error at <filename>.vhd(<nn>): design library work 
does not contain primary unit <package name>
The help system says that you do not need to have 'library work;' line, but   
adding that does not help either.  
In general, I am having lots of problem making package to work with 
QuartusII. Even in the one file where the use declartion works, it was not 
working at first, started working after deleting the file from the project 
and re-inserting it. It is driving me crazy. Please help.

Thanks.
The order of the VHDL files being processed is important. Go to the
Assignment->Settings->Files & Directories->Add/Remove command and order the
VHDL files in the project, such that the files which contain the package
definition are at the top of the list. Use the Buttons on the side of the
dialog to select a file and move it up or down in the list. Did you try
clicking on the error message in the message window and then hitting F1 for
help?

Hope this helps.

- Subroto Datta
Altera Corp.

"Pratip Mukherjee" <pratipm_delafterul@hotmail.com> wrote in message
news:Xns941281BF7FF59pratipmhotmailcom@204.127.199.17...
> Hi, > Using QuartusII 3.0, I have a VHDL file with a package declaration. From a > VHDL file in a project I can see the declarations in the pacakage by using > use work.<package name>.all; > But from another VHDL file in the same project, the same 'use' line gives > the following error: > Error: VHDL Use Clause error at <filename>.vhd(<nn>): design library work > does not contain primary unit <package name> > The help system says that you do not need to have 'library work;' line,
but
> adding that does not help either. > In general, I am having lots of problem making package to work with > QuartusII. Even in the one file where the use declartion works, it was not > working at first, started working after deleting the file from the project > and re-inserting it. It is driving me crazy. Please help. > > Thanks.