FPGARelated.com
Forums

FPGA Market Entry Barriers

Started by Unknown October 18, 2018
I was wondering what the barriers are to new companies marketing FPGAs.  Some of the technological barriers are obvious.  Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature.  

Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications.  They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably.  

I believe Achronix started out with the idea of asynchronous logic.  I'm not clear if they continue to use that or not, but it is not apparent from their web site.  Their target is ultra fast clock speeds enabling FPGAs in new market.  I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. 

Tabula was based on 3D technology, but they don't appear to have lasted.  I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master.  Not sure what market they were targeting. 

Other than the technologies, what other barriers do new FPGA companies face? 

Rick C.
torsdag den 18. oktober 2018 kl. 17.22.47 UTC+2 skrev gnuarm.del...@gmail.com:
> I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > Other than the technologies, what other barriers do new FPGA companies face? > > Rick C.
I'd think patents and the huge task of making the software for it
On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote:
> I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > Other than the technologies, what other barriers do new FPGA companies face? > > Rick C.
I've always wondered. So many companies have entered and then departed, leaving the duopoly. I think it must be the problem of developing the tools. As poor as they are, I think that might be the biggest impediment. Every grand new idea seems to flounder in the face of what works. Most innovations from Xilinx itself seem to flounder. Does anybody really use partial reconfiguration, years and years after it was introduced? All the "high-level" synthesis tools are either defunct or should be defunct.
On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote:
> I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > Other than the technologies, what other barriers do new FPGA companies face? > > Rick C.
I'm not sure but I think Achronix dropped the whole asynchronous thing early on, making their name a minsnomer.
On Thursday, October 18, 2018 at 2:41:32 PM UTC-4, Kevin Neilson wrote:
> On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote: > > I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > > > Other than the technologies, what other barriers do new FPGA companies face? > > > > Rick C. > > I've always wondered. So many companies have entered and then departed, leaving the duopoly. I think it must be the problem of developing the tools. As poor as they are, I think that might be the biggest impediment. Every grand new idea seems to flounder in the face of what works. Most innovations from Xilinx itself seem to flounder. Does anybody really use partial reconfiguration, years and years after it was introduced? All the "high-level" synthesis tools are either defunct or should be defunct.
I actually begged Xilinx for partial reconfiguration for many years. What they eventually offered was so crappy that I never was able to use it... plus my need had gone by then. No sure what you mean about "high level" synthesis. Are you talking about something above HDL? Is this graphical? Rick C.
On Thursday, October 18, 2018 at 2:42:46 PM UTC-4, Kevin Neilson wrote:
> On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote: > > I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > > > Other than the technologies, what other barriers do new FPGA companies face? > > > > Rick C. > > I'm not sure but I think Achronix dropped the whole asynchronous thing early on, making their name a minsnomer.
I saw something that indicated they had a lot of push back from potential customers so that rather than letting them get access to it they somehow encapsulated it, but it's still there. The 1.5 GHz spec is still the same. Rick C.
Kevin Neilson <kevin.neilson@xilinx.com> wrote:
> I've always wondered. So many companies have entered and then departed, > leaving the duopoly. I think it must be the problem of developing the > tools.
Tools, patents, and X and A buying up competitors I suspect.
> Does anybody really use partial reconfiguration, years and years after it > was introduced? All the "high-level" synthesis tools are either defunct > or should be defunct.
Both are getting used in the push for FPGAs to become cloud accelerators (eg Microsoft, Amazon, Intel). The application code (defined by some middleware, eg OpenCL) is HLSed into some block which is partially-reconfigured into an FPGA that's in the server running the cloud app(s). The outer ring of the FPGA (memory controllers, networking, PCIe, etc) stays the same, and different apps are partially reconfigured in and out. Linux now has kernel support for this. Theo
On Thursday, October 18, 2018 at 1:23:33 PM UTC-6, gnuarm.del...@gmail.com wrote:
> On Thursday, October 18, 2018 at 2:41:32 PM UTC-4, Kevin Neilson wrote: > > On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote: > > > I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > > > > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > > > > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > > > > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > > > > > Other than the technologies, what other barriers do new FPGA companies face? > > > > > > Rick C. > > > > I've always wondered. So many companies have entered and then departed, leaving the duopoly. I think it must be the problem of developing the tools. As poor as they are, I think that might be the biggest impediment. Every grand new idea seems to flounder in the face of what works. Most innovations from Xilinx itself seem to flounder. Does anybody really use partial reconfiguration, years and years after it was introduced? All the "high-level" synthesis tools are either defunct or should be defunct. > > I actually begged Xilinx for partial reconfiguration for many years. What they eventually offered was so crappy that I never was able to use it... plus my need had gone by then. > > No sure what you mean about "high level" synthesis. Are you talking about something above HDL? Is this graphical? > > Rick C.
I put "high level" in quotes because most of the high-level tools end up being very non-abstract if you actually want to meet timing. I'm talking about all the Matlab-to-gates, C-to-gates, and graphical tools like System Generator, etc. None have ever panned out. And even HDL still has to be used at a pretty low level. There was also hardware-in-the-loop simulation (for example, using System Generator) and I don't know if that's still used by anybody or not.
In article <5b57a396-9b89-4e42-b9fd-4662782bc801@googlegroups.com>,
Kevin Neilson  <kevin.neilson@xilinx.com> wrote:
>I've always wondered. So many companies have entered and then departed, >leaving the duopoly. I think it must be the problem of developing the >tools. As poor as they are, I think that might be the biggest impediment. >Every grand new idea seems to flounder in the face of what works. Most >innovations from Xilinx itself seem to flounder. Does anybody really >use partial reconfiguration, years and years after it was introduced? >All the "high-level" synthesis tools are either defunct or should be >defunct.
The industry is certainly worse off because of the lack of competition. Xilinx makes good technology, but their front end is simply awful. EDA is hard. Trying to keep the "sell the hardware, give away the tools" mentality has led the industry to accept an astonishly bad "situation normal" solution. The echo chamber of these in house developer's conversations must be deafening. The amount of money and personel spent on developing in-house "free" EDA, is likely staggering. And the hope of these "high-level" tools being solved by a semiconductor vendor now when the entire EDA industry has been attempting (and failing) to solve this problem for over 20 years? Nil. The industry really needs more competion in this arena. Will it happen with the two patent gorillas in the room? I don't see much... Regards, Mark
On Thursday, October 18, 2018 at 9:22:47 AM UTC-6, gnuarm.del...@gmail.com wrote:
> I was wondering what the barriers are to new companies marketing FPGAs. Some of the technological barriers are obvious. Designing a novel device is not so easy as the terrain is widely explored, so I expect any new player would need to find a niche application of an unexplored technological feature. > > Silicon Blue exploited a low power technology optimized for low cost devices in mobile applications. They were successful enough to be bought by Lattice and are still in production with the product line expanded considerably. > > I believe Achronix started out with the idea of asynchronous logic. I'm not clear if they continue to use that or not, but it is not apparent from their web site. Their target is ultra fast clock speeds enabling FPGAs in new market. I don't see then showing up on FPGA vendor lists so I assume they are sill pretty low volume. > > Tabula was based on 3D technology, but they don't appear to have lasted. I believe they were also claiming an ability to reconfigure logic in real time which sounds like a very complex technology to master. Not sure what market they were targeting. > > Other than the technologies, what other barriers do new FPGA companies face? > > Rick C.
I put a little more thought into this: what if I wanted to start an FPGA company? I could try to find an innovation or new niche, but that usually fails, partly because people don't want to migrate to something new. I sure don't. Say I want to make regular FPGA. First I have to make the silicon, which is hard, but let's assume I use a regular architecture with 6-input LUTs and maybe some block RAMs and DSP multipliers. No processor cores or anything. I wouldn't want to try to make my own simulator. I know FPGA companies try to make their own so customers can get a cheap version but I'd try to avoid that. I'd also farm out the synthesis as much as possible. I'd get Synplify to do that. I still have to make the place & route tool and timing analysis tools unless I can find somebody who is already doing that and can just have them adopt my architecture. So now I have a pretty standard FPGA, and maybe some tools, but I still have to compete with the established duopoly and their marketing and distribution networks. Could I compete on price? I doubt it. I'm not sure anybody has a compelling reason to switch to me.