FPGARelated.com
Forums

not replaced by logic error

Started by Stefano Trucco October 24, 2003
Hi
 
     Thanks for reading this.   I have a schematic (top level) design
which has a data bus.   This data bus has had IOB(63:0) and   separate
Ibuf(63:0) and
OBUF(63:0) attached to an IO marker (bidirectional) and NOTHING else
in the
schematic.   I get an error on synthesis that says: Signal is stuck at
GND

WARNING:Xst:528 - Multi-source in Unit <bcpm_top> on signal
<data_obuf_15>
not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit <bcpm_top> on signal
<data_obuf_14>
not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit <bcpm_top> on signal
<data_obuf_13>
not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit <bcpm_top> on signal
<data_obuf_12>
not replaced by logic

Signal is stuck at GND Anybody seen this error??? I am using
primitives and not macros for the IOBufs Also I had created this
schematic under 4.1 and foolishly upgraded to take "advantage" 5.1...
the schematic synthed and routed fine under 4.1 Any Ideas??? Thanks;
Hi Stefano, <p>I got the same problem as you.. (Foolishly upgraded to 5.2.03i) <BR>
Is there some way to get an answer from the Xilinx guys? <BR>
Thanks a bunch. <p>=========================================================================  <li>                         Low Level Synthesis                           * ========================================================================= <BR>
Library "C:/Xilinx/data/librtl.xst" Consulted <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;h_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;g_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;f_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;e_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;d_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;c_rnm0_0&gt; not replaced by logic <BR>
Signal is stuck at GND <BR>
WARNING:Xst:528 - Multi-source in Unit &lt;sha2&gt; on signal &lt;a_rnm0_31&gt; not replaced by logic <BR>
Sources are: b_rnm0_0:Q, a_rnm0_31:Q <BR>
ERROR:Xst:415 - Synthesis failed <BR>
CPU : 3.89 / 4.38 s | Elapsed : 4.00 / 4.00 s
<pre> 
Took cared of the dumb problem.. <p>It seemed XST (5.2) does not like a 'wire type' output port driven by a reg output (e.g. 'Q'). A 'wire type' output driven by combi logic is okay tho. <p>For example, I have <p>output [127:0] a; 
reg [31:0] c,d,e,f; 
a[31:0] = c; 
a[63:32] = d; 
etc. <p>will cause some 'a' wires to 'stuck'.. 
</pre>