FPGARelated.com
Forums

ISE 7.1 & ModelSim - Simulating Internal Signals

Started by Brendan Illingworth January 6, 2006
Hi All,

I am using Xilinx ISE 7.1 and ModelSim XE III 6.0 to analze flip-flop and
routing behavior in a Virtex II part.  Ports that are declared in my VHDL
entity declaration are simulated and shown in the wave window in ModelSim.
My question is this; how does one specify in Xilinx ISE additional signals
(that are not routed to IOB's) to be simulated in ModelSim?  Using the "add
probe" feature seems to route the signals to IOB pads and then simulates the
result of that, I need to see the signal inside a slice (or at least right
before or after the slice).

Thanks,
Brendan


As I know ,in verilog,if the signals you specifys is not in use,and the
synthesis tool(synplify pro etc)will optimize it,and will give a
warning and remove it.

On Fri, 6 Jan 2006 16:37:52 -0800, "Brendan Illingworth"
<billingworth@electrascan.com> wrote:

>Hi All, > >I am using Xilinx ISE 7.1 and ModelSim XE III 6.0 to analze flip-flop and >routing behavior in a Virtex II part. Ports that are declared in my VHDL >entity declaration are simulated and shown in the wave window in ModelSim. >My question is this; how does one specify in Xilinx ISE additional signals >(that are not routed to IOB's) to be simulated in ModelSim? Using the "add >probe" feature seems to route the signals to IOB pads and then simulates the >result of that, I need to see the signal inside a slice (or at least right >before or after the slice).
In Modelsim, once the design has been loaded, using the GUI interface, you can open windows displaying the design structure (as a directory type tree), and the named signals. Navigate to the design sub-unit you need to monitor; its signals are listed in the "signals" window. Select those you need, right click, and "add selected signals to Wave window". Now simulate and they will be displayed. If you missed some, add them, restart, and simulate again. You can also do the same via TCL script of course; the GUI approach simply generates the relevant script commands. Or you can save the Wave window format as a ".do" script to recreate this test later. Caveat: above refers to ModelSim SE; I believe it's true of XE but I'm not 100% certain) - Brian
Hi,

"Brian Drummond" <brian_drummond@btconnect.com> wrote in message 
news:8cfvr1tslmm23tqn196n631hvfoi96r3d5@4ax.com...
> In Modelsim, once the design has been loaded, using the GUI interface, > you can open windows displaying the design structure (as a directory > type tree), and the named signals. Navigate to the design sub-unit you > need to monitor; its signals are listed in the "signals" window. Select > those you need, right click, and "add selected signals to Wave window". > > Now simulate and they will be displayed. > > If you missed some, add them, restart, and simulate again. > > You can also do the same via TCL script of course; the GUI approach > simply generates the relevant script commands. Or you can save the Wave > window format as a ".do" script to recreate this test later. > > Caveat: above refers to ModelSim SE; I believe it's true of XE but I'm > not 100% certain)
Sure, it also applies for MXE.
> > - Brian
Yes, you should be able to click on UUT (unit under test) and get access to 
internal logic.  Look for the Workspace window and click on the 'sim' tab. 
You should see UUT listed in the directory tree.

"Brian Drummond" <brian_drummond@btconnect.com> wrote in message 
news:8cfvr1tslmm23tqn196n631hvfoi96r3d5@4ax.com...
> On Fri, 6 Jan 2006 16:37:52 -0800, "Brendan Illingworth" > <billingworth@electrascan.com> wrote: > >>Hi All, >> >>I am using Xilinx ISE 7.1 and ModelSim XE III 6.0 to analze flip-flop and >>routing behavior in a Virtex II part. Ports that are declared in my VHDL >>entity declaration are simulated and shown in the wave window in ModelSim. >>My question is this; how does one specify in Xilinx ISE additional signals >>(that are not routed to IOB's) to be simulated in ModelSim? Using the >>"add >>probe" feature seems to route the signals to IOB pads and then simulates >>the >>result of that, I need to see the signal inside a slice (or at least right >>before or after the slice). > > In Modelsim, once the design has been loaded, using the GUI interface, > you can open windows displaying the design structure (as a directory > type tree), and the named signals. Navigate to the design sub-unit you > need to monitor; its signals are listed in the "signals" window. Select > those you need, right click, and "add selected signals to Wave window". > > Now simulate and they will be displayed. > > If you missed some, add them, restart, and simulate again. > > You can also do the same via TCL script of course; the GUI approach > simply generates the relevant script commands. Or you can save the Wave > window format as a ".do" script to recreate this test later. > > Caveat: above refers to ModelSim SE; I believe it's true of XE but I'm > not 100% certain) > > - Brian
Thanks for the advice, however there is still have one issue.  After the
internal signal is added to the waveform and the simulation is restarted (by
reissuing the .do command) the simulation restarts, but the newly add signal
is removed from the waveform.  What am I missing?

-Brendan


"Brian Drummond" <brian_drummond@btconnect.com> wrote in message
news:8cfvr1tslmm23tqn196n631hvfoi96r3d5@4ax.com...
> On Fri, 6 Jan 2006 16:37:52 -0800, "Brendan Illingworth" > <billingworth@electrascan.com> wrote: > > >Hi All, > > > >I am using Xilinx ISE 7.1 and ModelSim XE III 6.0 to analze flip-flop and > >routing behavior in a Virtex II part. Ports that are declared in my VHDL > >entity declaration are simulated and shown in the wave window in
ModelSim.
> >My question is this; how does one specify in Xilinx ISE additional
signals
> >(that are not routed to IOB's) to be simulated in ModelSim? Using the
"add
> >probe" feature seems to route the signals to IOB pads and then simulates
the
> >result of that, I need to see the signal inside a slice (or at least
right
> >before or after the slice). > > In Modelsim, once the design has been loaded, using the GUI interface, > you can open windows displaying the design structure (as a directory > type tree), and the named signals. Navigate to the design sub-unit you > need to monitor; its signals are listed in the "signals" window. Select > those you need, right click, and "add selected signals to Wave window". > > Now simulate and they will be displayed. > > If you missed some, add them, restart, and simulate again. > > You can also do the same via TCL script of course; the GUI approach > simply generates the relevant script commands. Or you can save the Wave > window format as a ".do" script to recreate this test later. > > Caveat: above refers to ModelSim SE; I believe it's true of XE but I'm > not 100% certain) > > - Brian
Brendan Illingworth wrote:
> Thanks for the advice, however there is still have one issue. After the > internal signal is added to the waveform and the simulation is restarted (by > reissuing the .do command) the simulation restarts, but the newly add signal > is removed from the waveform. What am I missing?
A cut and past of the ADD WAVE command from the modelsim command line to the .do file. -- Mike Treseler
On Mon, 09 Jan 2006 11:07:39 -0800, Mike Treseler
<mike_treseler@comcast.net> wrote:

>Brendan Illingworth wrote: >> Thanks for the advice, however there is still have one issue. After the >> internal signal is added to the waveform and the simulation is restarted (by >> reissuing the .do command) the simulation restarts, but the newly add signal >> is removed from the waveform. What am I missing? > >A cut and past of the ADD WAVE command >from the modelsim command line to >the .do file.
Or a save of the (modified) wave.do file ( = "save" in the wave window) - Brian
Thanks All.  Much appreciated.

-Brian

"Brian Drummond" <brian_drummond@btconnect.com> wrote in message
news:o8j5s1t3g1kmk52ssv2vnrrb2dm1jjokll@4ax.com...
> On Mon, 09 Jan 2006 11:07:39 -0800, Mike Treseler > <mike_treseler@comcast.net> wrote: > > >Brendan Illingworth wrote: > >> Thanks for the advice, however there is still have one issue. After
the
> >> internal signal is added to the waveform and the simulation is
restarted (by
> >> reissuing the .do command) the simulation restarts, but the newly add
signal
> >> is removed from the waveform. What am I missing? > > > >A cut and past of the ADD WAVE command > >from the modelsim command line to > >the .do file. > > Or a save of the (modified) wave.do file ( = "save" in the wave window) > > - Brian