FPGARelated.com
Forums

Active-HDL Throws Error

Started by Rick C October 19, 2020
On Wednesday, October 21, 2020 at 11:35:57 AM UTC-4, Anssi Saari wrote:
> Rick C <gnuarm.deletethisbit@gmail.com> writes: > > > Someone else reported that ghdl did not work. But that seems to be an > > issue with ghdl not supporting all the useful features of VHDL-2008. > > > > ghdl -a --std=08 VHDL_test.vhVHDL_test.vhd:26:17:error: can't match 'nxt_cnt_a' with type std_ulogic > > VHDL_test.vhd:26:17:error: target is not a signal name > > > > Maybe your version of ghdl has additional features implemented. > > Maybe, it's the latest release version 0.37 from last February so not > exactly new.
That guy reported updating ghdl and the aggregate then working ok. Thanks for your info. -- Rick C. ++ Get 1,000 miles of free Supercharging ++ Tesla referral code - https://ts.la/richard11209
On 20/10/2020 20:01, Rick C wrote:
> On Tuesday, October 20, 2020 at 2:44:53 PM UTC-4, HT-Lab wrote: >> On 20/10/2020 18:58, Kevin Neilson wrote: >>> On Monday, October 19, 2020 at 10:39:28 PM UTC-6, gnuarm.del...@gmail.com wrote: >>>> On Monday, October 19, 2020 at 6:03:08 PM UTC-4, Rick C wrote: >> .. >>>>> >>>>> end TB_ARCH; -- VHDL_test >>>> I tried to report this both to Aldec and to Lattice (the company who provided the "free" software). Neither one has a means of reporting bugs unless you have an active maintenance account. I've sent both an email. Don't know if they will ever get back to me or accept my report of the bug. >>>> >>>> While searching I found a post from 2000 when Aldec had their new Verilog tool out. They were paying a bounty for bug reports. I think they let you use the software for free to find bugs and if you found 10 you got a lifetime subscription to the tool. Not sure what you got for lesser quantities. >>>> >>>> -- >>>> >>>> Rick C. >>>> >>>> + Get 1,000 miles of free Supercharging >>>> + Tesla referral code - https://ts.la/richard11209 >>> >>> Aldec very frequently does not parse legal HDL until it's simplified to its lowest form. >> >> I don't think that is the case. >> >> I know that in the past XST used to allow illegal VHDL constructs which >> resulted in Leonardo Spectrum adding a special "XST" switch to stop >> users complaining but there is no advantage in Aldec having a doggy >> parser. Also most EDA vendors use the same commercial parsers from Verific. >> >> The problem is that most free/student versions are older releases >> (Mentor does the same, OEM=2019.1, latest=2020.3). So I wouldn't be >> surprised if this bug has already been fixed in the latest release (I am >> sure somebody with a commercial license can confirm this). > > I would point out that this is not a compiler error, but a run time error. I think that is significantly different, i.e. not exactly a parser error most likely.
I agree, but I was replying to Kevin's post where he mentioned not parsing legal code, Hans www.ht-lab.com
On 20/10/2020 20:07, Anssi Saari wrote:
> HT-Lab <hans64@htminuslab.com> writes: > >> The problem is that most free/student versions are older releases >> (Mentor does the same, OEM=2019.1, latest=2020.3). So I wouldn't be >> surprised if this bug has already been fixed in the latest release (I >> am sure somebody with a commercial license can confirm this). > > Actually I downloaded what Intel provides for free today and it's > Modelsim 2020.2. But it seems to me that for a long time it was a few > Modelsim 10.x, a few years old at least.
Thanks, that is good news and I should have checked this before posting. I am on Quartus 18.1 and assumed Modelsim would still be on the 2019 series.
> > Rick's example seems to work with that Modelsim, in ghdl too although > ghdl doesn't claim full VHDL 2008 compatibility.
GHDL is an amazing piece of software and kudos to the developers. It is a shame they picked ADA as more young programmers (i.e. student with lots of spare time) are familiar with Python, C, Julia?, Go? ... I lost track, still impressive free software, Hans www.ht-lab.com
> > Don't have an Aldec license unfortunately. >
On Wednesday, October 21, 2020 at 3:08:05 PM UTC-4, HT-Lab wrote:
> On 20/10/2020 20:07, Anssi Saari wrote: > > HT-Lab <hans64@htminuslab.com> writes: > >=20 > >> The problem is that most free/student versions are older releases > >> (Mentor does the same, OEM=3D2019.1, latest=3D2020.3). So I wouldn't b=
e
> >> surprised if this bug has already been fixed in the latest release (I > >> am sure somebody with a commercial license can confirm this). > >=20 > > Actually I downloaded what Intel provides for free today and it's > > Modelsim 2020.2. But it seems to me that for a long time it was a few > > Modelsim 10.x, a few years old at least. >=20 > Thanks, that is good news and I should have checked this before posting.=
=20
> I am on Quartus 18.1 and assumed Modelsim would still be on the 2019=20 > series. > >=20 > > Rick's example seems to work with that Modelsim, in ghdl too although > > ghdl doesn't claim full VHDL 2008 compatibility. >=20 > GHDL is an amazing piece of software and kudos to the developers. It is=
=20
> a shame they picked ADA as more young programmers (i.e. student with=20 > lots of spare time) are familiar with Python, C, Julia?, Go? ... I lost=
=20
> track, still impressive free software,
I thought I might give ghdl a try, but I'm not clear on how to run it. Th= ey don't have an idiot's guide so I'm sure I totally messing it up. To sta= rt with I copied the folder ghdl from the zip file ghdl-0.37-mingw64-llvm.z= ip to C:\Program Files Then in the bin subdir I see two exe files, ghdl1-l= lvm.exe and ghdl.exe. Which is used? =20 Then I tried running by clicking on them, but I suspect that produces a com= mand line window that immediately goes away because I didn't have any comma= nd line parameters. I don't see any sign of the window though, just a mous= e cursor blink and the Windows Explorer window blinks. I get this same beh= avior with a UI simulation executable. I tried turning off the AVS but to = no avail.=20 I take it I need to put together a batch file to run ghdl? =20 It seems like it would be a good idea to use a vendor independent simulator= , but I'm not big on steep learning curves.=20 --=20 Rick C. --- Get 1,000 miles of free Supercharging --- Tesla referral code - https://ts.la/richard11209
On 21/10/2020 21:23, Rick C wrote:
> On Wednesday, October 21, 2020 at 3:08:05 PM UTC-4, HT-Lab wrote: >> On 20/10/2020 20:07, Anssi Saari wrote: >>> HT-Lab <hans64@htminuslab.com> writes:
..
>> GHDL is an amazing piece of software and kudos to the developers. It is >> a shame they picked ADA as more young programmers (i.e. student with >> lots of spare time) are familiar with Python, C, Julia?, Go? ... I lost >> track, still impressive free software, > > I thought I might give ghdl a try, but I'm not clear on how to run it. They don't have an idiot's guide so I'm sure I totally messing it up. To start with I copied the folder ghdl from the zip file ghdl-0.37-mingw64-llvm.zip to C:\Program Files Then in the bin subdir I see two exe files, ghdl1-llvm.exe and ghdl.exe. Which is used? > > Then I tried running by clicking on them, but I suspect that produces a command line window that immediately goes away because I didn't have any command line parameters. I don't see any sign of the window though, just a mouse cursor blink and the Windows Explorer window blinks. I get this same behavior with a UI simulation executable. I tried turning off the AVS but to no avail. > > I take it I need to put together a batch file to run ghdl?
Yes, this is the command sequence I used a few years ago for one my designs: ghdl -a --ieee=synopsys -fexplicit dut.vhd ghdl -a --ieee=synopsys -fexplicit testbench.vhd ghdl -e --ieee=synopsys -fexplicit testbench ./testbench.vhd --stop-time=200ms --ieee-asserts=disable --vcd=my.vcd I was quite impressed it worked first time out of the box. However, I quickly went back to Modelsim as a good debugging environment is so important. This is probably the reason why I still don't like Vivado. It has most bells and whistles but I find it too cumbersome to use. Good luck, Hans www.ht-lab.com
> > It seems like it would be a good idea to use a vendor independent simulator, but I'm not big on steep learning curves. >