FPGARelated.com
Forums

How to get lowest price for a ModelSim license?

Started by Weng Tianxiang June 12, 2006
Hi Weng,

How large designs are we talking about?

For small designs, you can always use free tools. Both open-source like
IcarusVerilog and free versions of commercials products. For example,
Altera Quartus II WebPack and Actel Libero Gold are both free and
include simulators. In the case of Actel it is actually a version of
ModelSim.


The free versions may sometimes be a little limited, but we have paid
hundreds of thousands of dollars for much worse tools in past.


(Please note that I dont suggest you should switch to Altera/Actel).

regards
 -Burns

>Weng Tianxiang wrote: > Hi, > We want to buy a ModelSim license. > > 1. Buy Xilinx-ModelSim version license from Xilinx website shop for > $1150 with dongle and 1 year expiration limit; > > 2. Buy ModelSim PE version from one of agents we contact: $3K for > perpatual license. > > Both versions will work and make no differences to our applications. > > Does anyone knows a better way to buy a ModelSim license with lowest > price except the above two options? For a start-up, we prefer lowest > price, of course. > > Thank you. > > Weng
Hi Everyone,
Thank you for your responses.

It is a start-up project for commercial use and I am not an academic
researcher, instead of, an engineer who has a 2nd own project going on
at home and hasn't got financial support from any investors.

I have been using Xilinx free tools with ModelSim free software. Now
ModelSim says that the size of project is beyond 50K statements that is
beyond its limit of 10K statements. Whie beyond limit, ModelSim goes
very slow.

$3K price for ModelSim PE is acceptable to us, but finally it is found
that ModelSim Design is for $3K and ModelSim PE for $5K.

So we are wighting for other choice.

Thank you.

Weng

burn.sir@gmail.com wrote:
> Hi Weng, > > How large designs are we talking about? > > For small designs, you can always use free tools. Both open-source like > IcarusVerilog and free versions of commercials products. For example, > Altera Quartus II WebPack and Actel Libero Gold are both free and > include simulators. In the case of Actel it is actually a version of > ModelSim. > > > The free versions may sometimes be a little limited, but we have paid > hundreds of thousands of dollars for much worse tools in past. > > > (Please note that I dont suggest you should switch to Altera/Actel). > > regards > -Burns > > >Weng Tianxiang wrote: > > Hi, > > We want to buy a ModelSim license. > > > > 1. Buy Xilinx-ModelSim version license from Xilinx website shop for > > $1150 with dongle and 1 year expiration limit; > > > > 2. Buy ModelSim PE version from one of agents we contact: $3K for > > perpatual license. > > > > Both versions will work and make no differences to our applications. > > > > Does anyone knows a better way to buy a ModelSim license with lowest > > price except the above two options? For a start-up, we prefer lowest > > price, of course. > > > > Thank you. > > > > Weng
Weng,

Have you looked at Aldec?  Their simulator is as good as modelsim, and 
has an easier user interface.  They also offer VHDL/verilog/edif/matlab 
co-simulation.  The cadillac version competes favorably with modelsim PE 
for I think a similar price.  The versions with fewer features are 
cheaper.  You might contact Aldec and talk to them about your needs. 
One of the big advantages with Aldec is the customer support, I've 
always gotten personal attention from their support within hours.  Model 
tech, on the other hand has not been all that responsive when issues 
come up.
Francesco wrote:
> bart wrote: > > oneweek wrote: > > > you can try lattice semi for a fraction of cost, only restriction is > > > you have to use their FPGA, but not bad at all. > > > > > ModelSim is included with shipments of Lattice's ispLEVER base > > software, which you can purchase (Windows part number: > > LS-HDL-BASE-PC-N) from the Lattice online store for $495 at > > http://www.latticesemi.com/store/software.cfm > > > > Regards, > > Bart Borosky, Lattice > > if you use verilog I suggest you to try icarus verilog > :http://www.icarus.com/eda/verilog.
I wanted to use Icarus but I was confronted to a big problem (as a user of Xilinx): in the simlation libraries there are specify blocs and Icarus verilog doesn't support them and there are no shoft term plans to support them. Great was my deception (as open source enthusiast) but now I'm obliged to use a commercial simulator.
> and the wave viewer you can use wave 1.0 : www.iss-us.com > I think they are quite good.
I used gtk-wave for that.
> They are free and in my opinion they are veru good. > > Francesco
"GaLaKtIkUs" <taileb.mehdi@gmail.com> writes:

> I wanted to use Icarus but I was confronted to a big problem (as a user > of Xilinx): in the simlation libraries there are specify blocs and > Icarus verilog doesn't support them and there are no shoft term plans > to support them.
There was a bug in the unisim libraries at one point which make ghdl (rightfully) choke: an element of an enum had the same name as a variable or a type IIRC. Maybe that is your problem? Cheers, Colin
Colin Marquardt wrote:
> "GaLaKtIkUs" <taileb.mehdi@gmail.com> writes: > > > I wanted to use Icarus but I was confronted to a big problem (as a user > > of Xilinx): in the simlation libraries there are specify blocs and > > Icarus verilog doesn't support them and there are no shoft term plans > > to support them. > > There was a bug in the unisim libraries at one point which make ghdl > (rightfully) choke: an element of an enum had the same name as a > variable or a type IIRC. Maybe that is your problem? > > Cheers, > Colin
I'm talking about icarus verilog ... not about ghdl!!! Cheers
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

GaLaKtIkUs&#4294967295; wrote:

> I wanted to use Icarus but I was confronted to a big problem (as a user > of Xilinx): in the simlation libraries there are specify blocs and > Icarus verilog doesn't support them and there are no shoft term plans > to support them. Great was my deception (as open source enthusiast) but > now I'm obliged to use a commercial simulator.
It doesn't matter. The specify blocks are ignored and simulation works just fine. You will not be able to do back-annotated post- par timing simulations, but functional simulations work just fine. I (and my day job co-workers) use Icarus Verilog for Xilinx work all the time. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v1.2.5 (GNU/Linux) Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org iD8DBQFEkf+CrPt1Sc2b3ikRAilYAJ0X1fDeKL2aXJKZosT+S+D6ri5jjgCePmLp fnPgNspKMlUVaG4N5Kcag1A= =IkVF -----END PGP SIGNATURE-----
Stephen Williams wrote:
> GaLaKtIkUs&#4294967295; wrote: >>I wanted to use Icarus but I was confronted to a big problem (as a user >>of Xilinx): in the simlation libraries there are specify blocs and >>Icarus verilog doesn't support them and there are no shoft term plans >>to support them. Great was my deception (as open source enthusiast) but >>now I'm obliged to use a commercial simulator. > > > It doesn't matter. The specify blocks are ignored and simulation > works just fine. You will not be able to do back-annotated post- > par timing simulations, but functional simulations work just fine. > > I (and my day job co-workers) use Icarus Verilog for Xilinx work > all the time.
Interesting - can you give some comments on the relative speed / reliability /size of the present Icarus release ? -jg
-----BEGIN PGP SIGNED MESSAGE-----
Hash: SHA1

Jim Granville wrote:
> Stephen Williams wrote: >> GaLaKtIkUs&#4294967295; wrote: >>> I wanted to use Icarus but I was confronted to a big problem (as a user >>> of Xilinx): in the simlation libraries there are specify blocs and >>> Icarus verilog doesn't support them and there are no shoft term plans >>> to support them. Great was my deception (as open source enthusiast) but >>> now I'm obliged to use a commercial simulator. >> >> >> It doesn't matter. The specify blocks are ignored and simulation >> works just fine. You will not be able to do back-annotated post- >> par timing simulations, but functional simulations work just fine. >> >> I (and my day job co-workers) use Icarus Verilog for Xilinx work >> all the time. > > Interesting - can you give some comments on the relative speed / > reliability /size of the present Icarus release ?
I can say with certainty that a licensed Modelsim simulator is faster. Others will be able to say how much faster. I do image processing in a 1/3 full XC2V3000 w/ SDRAMS, and I can simulate plausible jobs. Sometimes I even do simulations on my 1.3GHz Powerbook G4:-) Now when you say "present Icarus release", there are the current snapshots and there is the v0.8 stable branch. The stable branch is a little faster in some cases, but is not as complete in others. The stable release is "stable", but the snapshots are getting the bug fixes and new features. - -- Steve Williams "The woods are lovely, dark and deep. steve at icarus.com But I have promises to keep, http://www.icarus.com and lines to code before I sleep, http://www.picturel.com And lines to code before I sleep." -----BEGIN PGP SIGNATURE----- Version: GnuPG v1.2.5 (GNU/Linux) Comment: Using GnuPG with Mozilla - http://enigmail.mozdev.org iD8DBQFEkzc7rPt1Sc2b3ikRAhx5AJ9I6krjEnRhmfE8CNQ0IxLSSWkeuACfXEIA fHyPzIDCgAgjffEl1dwE+rM= =xg0D -----END PGP SIGNATURE-----
GaLaKtIkUs&#4294967295; wrote:

> I wanted to use Icarus but I was confronted to a big problem (as a user > of Xilinx): in the simlation libraries there are specify blocs and > Icarus verilog doesn't support them.
Could you write your own code and not use the libraries? -- Mike Treseler