FPGARelated.com
Forums

Xilinx Spartan FPGA : Strange Errors

Started by Unknown August 14, 2007
Hi,

I wonder if anyone has seen something like this.

I have an FPGA design targeted at an Spartan xc3s1500 and using
ISE8.2.

We are using a spartan evaluation board with some 7 segment LED's.

If I make minor changes to the pinout, sometimes the FPGA stops
functioning completely. What is interesting, is that the 7 segment
LED's are not driven. The VHDL code for this is :

  p_seven_seg : process(clk system_reset_n,)

  begin
    if(system_reset_n = '0')then
      seven_seg_1 <= "1111111";
    elsif(clk = '1'and clkt'event) then
      case (conv_integer(p1)) is
        when 0      =>
          seven_seg_1 <= not("0111111");
        when others =>
          seven_seg_1 <= "1111111";
      end case;
end process;

There are no tri-state buffers, seven_seg_1 is an O/P port therefore
should *always* be driven.

This failure mode implies (to me) that FPGA configuration is failing.

Has anyone seen anything like this, can they suggest any debug
strategies?

Thanks,

Steven

<moogyd@yahoo.co.uk> wrote in message 
news:1187112403.482357.230890@d55g2000hsg.googlegroups.com...
> > We are using a spartan evaluation board with some 7 segment LED's. > > If I make minor changes to the pinout, sometimes the FPGA stops > functioning completely. What is interesting, is that the 7 segment > LED's are not driven. > > > Steven >
Hi Steven, Your VHDL is missing an 'end if' I think, and you should use numeric.std, it's much nicer. Have you 'loc'ked all the pins in your design? Does your design meet the timing? HTH., Syms.