FPGARelated.com
Forums

CPLD Pad File

Started by akshat January 18, 2008
I am trying to generate a CPLD pad file using a dummy module and ucf.

Translate process gives the following error:

ERROR:NgdBuild:605 - logical root block 'test' with type 'test' is
unexpanded.
   Symbol 'test' is not supported in target 'xbr'.

Any idea what might be wrong??
On Jan 18, 3:14 am, akshat <mailtoaks...@gmail.com> wrote:
> I am trying to generate a CPLD pad file using a dummy module and ucf. > > Translate process gives the following error: > > ERROR:NgdBuild:605 - logical root block 'test' with type 'test' is > unexpanded. > Symbol 'test' is not supported in target 'xbr'. > > Any idea what might be wrong??
Is your top level module written in Verilog? If this is the case and all the module contains is the port list, i.e. no "code", the tools assume that it is a black box and look for an underlying .ngc or EDIF file. Usually to get through ngdbuild ("translate") you need to have at least an assign statement in the module.
On Jan 18, 7:33=A0pm, Gabor <ga...@alacron.com> wrote:
> On Jan 18, 3:14 am, akshat <mailtoaks...@gmail.com> wrote: > > > I am trying to generate a CPLD pad file using a dummy module and ucf. > > > Translate process gives the following error: > > > ERROR:NgdBuild:605 - logical root block 'test' with type 'test' is > > unexpanded. > > =A0 =A0Symbol 'test' is not supported in target 'xbr'. > > > Any idea what might be wrong?? > > Is your top level module written in Verilog? =A0If this is the > case and all the module contains is the port list, i.e. no > "code", the tools assume that it is a black box and look for > an underlying .ngc or EDIF file. =A0Usually to get through > ngdbuild ("translate") you need to have at least an assign > statement in the module.
Hey, thanks Gabor.. that exactly was the problem.. Sorted it out..