FPGARelated.com
Forums

Interface on board ADC to Spartan 3E startkit

Started by krunal February 18, 2008
Hi,
        I want to interface on board ADC to spartan 3E startkit.
Actually I am developing digital filter in FPGA for that I need ADC
and DAC interface with Spartan 3E. I have done with DAC but now I want
to interface amplifier and ADC which are on board in Spartan 3E
starter kit .........If any one have it's VHDL or Verilog code please
give me..........Even I have find a document for implementing
amplifier and ADC usign picoblaze but I don't want it........So please
help me...............

Thank you,


Regards,
Krunal
krunal wrote:

> I want to interface on board ADC to spartan 3E startkit. > Actually I am developing digital filter in FPGA for that I need ADC > and DAC interface with Spartan 3E. I have done with DAC but now I want > to interface amplifier and ADC which are on board in Spartan 3E > starter kit .........If any one have it's VHDL or Verilog code please > give me..........Even I have find a document for implementing > amplifier and ADC usign picoblaze but I don't want it........So please > help me...............
Where do you have problems with the ADC? If you have already done the DAC, the ADC should be very similar, because both chips on the Spartan3E starter kit uses the SPI protocol and are from the same company. For some code to output something on the DAC, see this VHDL code: http://www.frank-buss.de/SignalGenerator/vhdl/spartan3e_test.vhd The DAC parts needs about 30 lines. Reading the LTC1407 ADC and controlling the LTC6912 amplifier is very similar. Maybe you can save some LUTs, if you are using the Picoblaze. Another interesting idea would be to implement some kind of very simple microcode to define which bytes needs to be transfered to which SPI ports and then using some BRAM (as ROM) to control it. But the Spartan 3E has many free LUTs, so you can do it in pure VHDL (but use functions and procedures to make the code less redundant). -- Frank Buss, fb@frank-buss.de http://www.frank-buss.de, http://www.it4-systems.de
Hey! Even i am trying to interface adc on Spartan 3e can you help me out with the code as soon as possible!
On 01.02.2018 06:10, jayanth.kalvakuntla1996@gmail.com wrote:
> Hey! Even i am trying to interface adc on Spartan 3e can you help me out with the code as soon as possible! >
Many people here sure can. Post your code and ask your questions Nicolas
Actually i want the whole code ..if you have it will you post it here it would be helpful for me 
Actually i want the code..if you have it will you post it here it would be helpful!
On 03/02/2018 14:30, jayanth.kalvakuntla1996@gmail.com wrote:
> Actually i want the whole code ..if you have it will you post it here it would be helpful for me
This sounds like an assignment you've been given. Shouldn't this work be your own? -- Mike Perkins Video Solutions Ltd www.videosolutions.ltd.uk
On 03.02.2018 15:30, jayanth.kalvakuntla1996@gmail.com wrote:
> Actually i want the whole code ..if you have it will you post it here it would be helpful for me
Maybe you could tell us a bit more about this project of yours ? Nicolas
Le 03/02/2018 à 15:33, jayanth.kalvakuntla1996@gmail.com a écrit :
> Actually i want the code..if you have it will you post it here it would be helpful! >
Lazy!
We are'nt lazyy.....We have tried out all the ways of doing it but faced a lot of problems.If you have a solution,do help us.you have never seen us and trying to judge us.Dont be soo arrogant