FPGARelated.com
Forums

Xilinx and Modelsim?

Started by Sunn March 31, 2008
Hi, please forgive me for any ignorance in this question, but I am
really lost.

I have tried to get Xilinx ISE Webpack 9.2i to work with modelsim. But
it just doesn't seem to work.

Now I am not very familiar with these programs, I am using them
because I am doing a school course that uses FPGA and they use Xilinx
and Modelsim... I have used them in the labs, but here I am just
having trouble to install them.

I am able to installed Xilinx ISE Webpack 9.2i, but I cannot find
Modelsim in it, so I googled for Modelsim, installed it, but it
doesn't do anything.

I just don't know what's going on or where should I look at... I just
want to install the programs!!

Does anyone have any guides on how to get it install and running on
Linux? (Kernel 2.6)

Thanks.
On Mar 31, 2:04 pm, Sunn <sun...@gmail.com> wrote:
> Hi, please forgive me for any ignorance in this question, but I am > really lost. > > I have tried to get Xilinx ISE Webpack 9.2i to work with modelsim. But > it just doesn't seem to work. > > Now I am not very familiar with these programs, I am using them > because I am doing a school course that uses FPGA and they use Xilinx > and Modelsim... I have used them in the labs, but here I am just > having trouble to install them. > > I am able to installed Xilinx ISE Webpack 9.2i, but I cannot find > Modelsim in it, so I googled for Modelsim, installed it, but it > doesn't do anything. > > I just don't know what's going on or where should I look at... I just > want to install the programs!! > > Does anyone have any guides on how to get it install and running on > Linux? (Kernel 2.6) > > Thanks.
Hi, I did not see you write that you had "purchased a license for ModelSim". I would not expect ModelSim to do anything until it can find a valid license. Whoever you buy the license from should be able to help you get things going. May I recommend that you purchase the Xilinx version (ModelsimXE), as it comes pre-configured to run inside ISE. G.
ghelbig@lycos.com wrote:

> > May I recommend that you purchase the Xilinx version (ModelsimXE), as > it comes pre-configured to run inside ISE.
Note that there is also modelsimXSE which is free and might be good enough for small designs. -Jeff
On Mon, 31 Mar 2008 23:33:02 -0400, Jeff Cunningham <jcc@sover.net> wrote:

>ghelbig@lycos.com wrote: > >> >> May I recommend that you purchase the Xilinx version (ModelsimXE), as >> it comes pre-configured to run inside ISE. > >Note that there is also modelsimXSE which is free and might be good >enough for small designs. >-Jeff
Neither of which works under Linux, as far as I know. The OP probably has to install Windows as a first step, or find the price of Modelsim SE (or possibly LE, which is crippled to only handle Verilog) - Brian
On Mar 31, 4:04 pm, Sunn <sun...@gmail.com> wrote:
> Hi, please forgive me for any ignorance in this question, but I am > really lost. > > I have tried to get Xilinx ISE Webpack 9.2i to work with modelsim. But > it just doesn't seem to work. > > Now I am not very familiar with these programs, I am using them > because I am doing a school course that uses FPGA and they use Xilinx > and Modelsim... I have used them in the labs, but here I am just > having trouble to install them. > > I am able to installed Xilinx ISE Webpack 9.2i, but I cannot find > Modelsim in it, so I googled for Modelsim, installed it, but it > doesn't do anything. > > I just don't know what's going on or where should I look at... I just > want to install the programs!! > > Does anyone have any guides on how to get it install and running on > Linux? (Kernel 2.6) > > Thanks.
I know that Xilinx works with universities to supply boards, chips, and software at very low costs to students (speak up Austen). Perhaps Modeltech (Modelsim) has a similar program. Have you talked to your prof about this? And even for us non-students, there is a free, but limited, version of Modelsim that is available from the Xilinx website. HTH -Dave Pollum
On Apr 17, 8:21 pm, waing gyi <waing....@gmail.com> wrote:
> On Apr 1, 10:24 pm, Dave Pollum <vze24...@verizon.net> wrote: > > > On Mar 31, 4:04 pm, Sunn <sun...@gmail.com> wrote: > > > Does webpack 10.1 require modelsim for simulation? ps help me!! If need then what is an appropirate modelsim for vista? > > > > Hi, please forgive me for any ignorance in this question, but I am > > > really lost. > > > > I have tried to get Xilinx ISE Webpack 9.2i to work with modelsim. But > > > it just doesn't seem to work. > > > > Now I am not very familiar with these programs, I am using them > > > because I am doing a school course that uses FPGA and they use Xilinx > > > and Modelsim... I have used them in the labs, but here I am just > > > having trouble to install them. > > > > I am able to installed Xilinx ISE Webpack 9.2i, but I cannot find > > > Modelsim in it, so I googled for Modelsim, installed it, but it > > > doesn't do anything. > > > > I just don't know what's going on or where should I look at... I just > > > want to install the programs!! > > > > Does anyone have any guides on how to get it install and running on > > > Linux? (Kernel 2.6) > > > > Thanks. > > > I know that Xilinx works with universities to supply boards, chips, > > and software at very low costs to students (speak up Austen). Perhaps > > Modeltech (Modelsim) has a similar program. Have you talked to your > > prof about this? And even for us non-students, there is a free, but > > limited, version of Modelsim that is available from the Xilinx > > website. > > HTH > > -Dave Pollum- Hide quoted text - > > > - Show quoted text -
------------------------------------------------------ You can download ModelSim XE from Xilinx website and request the license key after installing the software. If you do not want to pay for the license fee, then install free version (Starter Edition). Another way to get ModelSim is to download from Mentor Graphics website (http://www.model.com/resources/student_edition/ student_download.asp). They provide free ModelSim PE Student Edition for academic use. You also need to request the license key. For simulation within ISE WebPACK environment, you need to set the simulator preference. From ISE menu, click Edit --> Preferences, ISE General -> Integrated Tools. Then set the ModelSim simulator path to ModelSim executable binary, i.e,. C:\Modeltech_xe_starter\win32xoem \modelsim.exe. Hope it is helpful. chumnarn