FPGARelated.com
Forums

XILINX Ethernet MAC (URGENT...)

Started by vikram May 19, 2008
hello,

I am trying to interface between my pc (windows) and a Xilinx
Virtex2Pro board using ethernet. i am told i require Xilinx PLB
Ethernet MAC ip core. i must admit i am very new to such work, forgive
my blatantness.... i would like to know:

1) What exactly do i get in the Xilinx Ethernet MAC ip core? (design
files etc?)

2) Using XPS (EDK 9.1) and ISE 9.1, how do i integrate it into an
existing project?

3) the ethernet is just a part of the project.... i only need to
transfer data between my pc and the board.... should the MAC be a part
of the board?

4) do i have to use an embedded processor (microblaze/powerpc) to
integrate the MAC?

Please let me know as soon as possible, and keep it as simple as
possible- i am new to this.

thanks in advance
Awaiting your advice

vikram
On May 19, 1:35 am, vikram <vikram...@gmail.com> wrote:
> hello, > > I am trying to interface between my pc (windows) and a Xilinx > Virtex2Pro board using ethernet. i am told i require Xilinx PLB > Ethernet MAC ip core. i must admit i am very new to such work, forgive > my blatantness.... i would like to know: > > 1) What exactly do i get in the Xilinx Ethernet MAC ip core? (design > files etc?)
You get the unlocked CoreGen core. In other words, you could generate the core from CoreGen and use it however. Or, you'll be able to use it directly in EDK. Of course, since you're referring to the V2Pro, you're also referring to the Softcore MAC. This provides a full MAC capable of performing 10/100 communication. Starting with EDK 9.2, the OPB version of the core has been released for 'free' (included w/ the purchase of EDK 9.2).
> 2) Using XPS (EDK 9.1) and ISE 9.1, how do i integrate it into an > existing project?
It will appear as an unlocked core in the High-Speed communications category of the EDK core list in any of your projects.
> 3) the ethernet is just a part of the project.... i only need to > transfer data between my pc and the board.... should the MAC be a part > of the board?
Well, Ethernet is split into multiple parts. The first part is the PHY or the physical communication. This provides the lowest layer to which the data is transmitted. This device is responsible for conversion from a Media-Independent Interface (R/S/G/MII) to the physical layer: copper/fiber/etc. The next layer up is the MAC: Media Access Control. This provides the conversion from your real data into a Media- Independent Interface (R/S/G/MII). So unless you're going to build your own MAC and design it to meet all of the IEEE specs for whatever MII you use, then YES you need it.
> 4) do i have to use an embedded processor (microblaze/powerpc) to > integrate the MAC?
No, but depending on what you're doing you may want to have processor perform the work. It's possible to create devices that just take/put streams with solely logic and state machines. But if you want to start handling TCP traffic or anything higher-layer (HTTP/FTP) or more complicated you will probably want a processor and software to handle it. But that's my $0.02. -- Mike
On May 19, 5:44=A0pm, morphiend <morphi...@gmail.com> wrote:
> On May 19, 1:35 am, vikram <vikram...@gmail.com> wrote:> hello, > > > I am trying to interface between my pc (windows) and a Xilinx > > Virtex2Pro board using ethernet. i am told i require Xilinx PLB > > Ethernet MAC ip core. i must admit i am very new to such work, forgive > > my blatantness.... i would like to know: > > > 1) What exactly do i get in the Xilinx Ethernet MAC ip core? (design > > files etc?) > > You get the unlocked CoreGen core. In other words, you could generate > the core from CoreGen and use it however. Or, you'll be able to use it > directly in EDK. Of course, since you're referring to the V2Pro, > you're also referring to the Softcore MAC. This provides a full MAC > capable of performing 10/100 communication. Starting with EDK 9.2, the > OPB version of the core has been released for 'free' (included w/ the > purchase of EDK 9.2). > > > 2) Using XPS (EDK 9.1) and ISE 9.1, how do i integrate it into an > > existing project? > > It will appear as an unlocked core in the High-Speed communications > category of the EDK core list in any of your projects. > > > 3) the ethernet is just a part of the project.... i only need to > > transfer data between my pc and the board.... should the MAC be a part > > of the board? > > Well, Ethernet is split into multiple parts. The first part is the PHY > or the physical communication. This provides the lowest layer to which > the data is transmitted. This device is responsible for conversion > from a Media-Independent Interface (R/S/G/MII) to the physical layer: > copper/fiber/etc. The next layer up is the MAC: Media Access Control. > This provides the conversion from your real data into a Media- > Independent Interface (R/S/G/MII). So unless you're going to build > your own MAC and design it to meet all of the IEEE specs for whatever > MII you use, then YES you need it. > > > 4) do i have to use an embedded processor (microblaze/powerpc) to > > integrate the MAC? > > No, but depending on what you're doing you may want to have processor > perform the work. It's possible to create devices that just take/put > streams with solely logic and state machines. But if you want to start > handling TCP traffic or anything higher-layer (HTTP/FTP) or more > complicated you will probably want a processor and software to handle > it. But that's my $0.02. > > -- Mike
dear Mike thanks for the prompt response. the board i'm using has an on-board PHY.
>You get the unlocked CoreGen core. In other words, you could generate
the core from CoreGen and use it. once i have the generated MAC core, do i just add it to my existing project to 'use' it? or, from EDK, do i add it as a peripheral ("import peripheral") to my existing project? thanks again vikram
On May 21, 1:19 am, vikram <vikram...@gmail.com> wrote:
> On May 19, 5:44 pm, morphiend <morphi...@gmail.com> wrote: > > > > > On May 19, 1:35 am, vikram <vikram...@gmail.com> wrote:> hello, > > > > I am trying to interface between my pc (windows) and a Xilinx > > > Virtex2Pro board using ethernet. i am told i require Xilinx PLB > > > Ethernet MAC ip core. i must admit i am very new to such work, forgive > > > my blatantness.... i would like to know: > > > > 1) What exactly do i get in the Xilinx Ethernet MAC ip core? (design > > > files etc?) > > > You get the unlocked CoreGen core. In other words, you could generate > > the core from CoreGen and use it however. Or, you'll be able to use it > > directly in EDK. Of course, since you're referring to the V2Pro, > > you're also referring to the Softcore MAC. This provides a full MAC > > capable of performing 10/100 communication. Starting with EDK 9.2, the > > OPB version of the core has been released for 'free' (included w/ the > > purchase of EDK 9.2). > > > > 2) Using XPS (EDK 9.1) and ISE 9.1, how do i integrate it into an > > > existing project? > > > It will appear as an unlocked core in the High-Speed communications > > category of the EDK core list in any of your projects. > > > > 3) the ethernet is just a part of the project.... i only need to > > > transfer data between my pc and the board.... should the MAC be a part > > > of the board? > > > Well, Ethernet is split into multiple parts. The first part is the PHY > > or the physical communication. This provides the lowest layer to which > > the data is transmitted. This device is responsible for conversion > > from a Media-Independent Interface (R/S/G/MII) to the physical layer: > > copper/fiber/etc. The next layer up is the MAC: Media Access Control. > > This provides the conversion from your real data into a Media- > > Independent Interface (R/S/G/MII). So unless you're going to build > > your own MAC and design it to meet all of the IEEE specs for whatever > > MII you use, then YES you need it. > > > > 4) do i have to use an embedded processor (microblaze/powerpc) to > > > integrate the MAC? > > > No, but depending on what you're doing you may want to have processor > > perform the work. It's possible to create devices that just take/put > > streams with solely logic and state machines. But if you want to start > > handling TCP traffic or anything higher-layer (HTTP/FTP) or more > > complicated you will probably want a processor and software to handle > > it. But that's my $0.02. > > > -- Mike > > dear Mike > > thanks for the prompt response. the board i'm using has an on-board > PHY.>You get the unlocked CoreGen core. In other words, you could generate > > the core from CoreGen and use it. > > once i have the generated MAC core, do i just add it to my existing > project to 'use' it? > or, from EDK, do i add it as a peripheral ("import peripheral") to my > existing project? > > thanks again > vikram
If you have the core unlocked, it should show up as a core in the High- Speed Communication 'folder' in the cores tree. In other words, there isn't much you'd have to do to 'import' it into EDK. It should be their auto-magically.
Dear Mike

> If you have the core unlocked, it should show up as a core in the High- > Speed Communication 'folder' in the cores tree. In other words, there > isn't much you'd have to do to 'import' it into EDK. It should be > their auto-magically.- Hide quoted text -
Got that part.... Once the core is in the repository in EDK, do I add it to my project through XPS as a peripheral (using the create/import peripheral option) or do i use the Add/Edit core option? after this, do i just download it to the board? thanks vikram
On May 22, 12:19 am, vikram <vikram...@gmail.com> wrote:
> Dear Mike > > > If you have the core unlocked, it should show up as a core in the High- > > Speed Communication 'folder' in the cores tree. In other words, there > > isn't much you'd have to do to 'import' it into EDK. It should be > > their auto-magically.- Hide quoted text - > > Got that part.... Once the core is in the repository in EDK, do I add > it to my project through XPS as a peripheral (using the create/import > peripheral option) or do i use the Add/Edit core option? after this, > do i just download it to the board? > > thanks > vikram
I don't think you got that part, because it would be the same as using any other Xilinx-provided core. For me, I just drag it from the Available Cores list on the left-hand window pane into the System Assembly in the right-hand window pane. If that doesn't work, I recommend reading up on how to use EDK. A good starting point is the EDK reference manual.