FPGARelated.com
Forums

Altera library sim question

Started by Paul Urbanus September 6, 2008
I'm new to designing with altera, and by default the Quartus tool adds 
the following library declarations as part of my top level code template.

library ieee;
use ieee.std_logic_1164.all;
library altera;
use altera.altera_syn_attributes.all;

I've compiled the altera libraries under modelsim using the supplied 
altera script. When I try to compile my top-level module in modelsim 
with the above declarations, I receive the following modelsim error.

** Error: (vcom-11) Could not find 
C:\Modeltech6.1f_de\fpga_libs\altera\vhdl\altera.altera_syn_attributes.
** Error: C:/projects/CDS/FDWU/FPGA/FDWU_Rev05/FDWU_top.vhd(18): 
(vcom-1195) Cannot find expanded name: 'altera.altera_syn_attributes'.
** Error: C:/projects/CDS/FDWU/FPGA/FDWU_Rev05/FDWU_top.vhd(18): Unknown 
record element "altera_syn_attributes".

After a web search, I found the following suggestion to compile the 
altera_syn_attributes.vhd file into the altera library.

http://www.alteraforum.com/forum/showthread.php?t=1709

Is there any reason I shouldn't compile the altera synthesis attributes 
into my modelsim altera library.

As it turns out, I don't really need the 'use 
altera.altera_syn_attributes.all;' declaration, so I can just delete 
this declaration and fix my problem. But, I might be using altera 
attributes later so I want to go ahead and address the problem now.

Urb
On Sep 6, 9:12=A0am, Paul Urbanus <urbpub...@hotmail.com> wrote:
> > Is there any reason I shouldn't compile the altera synthesis attributes > into my modelsim altera library. > > Urb
No. Just do it.
On Sep 6, 9:53=A0pm, LittleAlex <alex.lo...@email.com> wrote:
> On Sep 6, 9:12=A0am, Paul Urbanus <urbpub...@hotmail.com> wrote: > > > > > Is there any reason I shouldn't compile the altera synthesis attributes > > into my modelsim altera library. > > > Urb > > No. =A0Just do it.
The altera_syn_attributes package is included in the ModelSim AE precompiled libraries starting with version 8.0, so you should no longer have to compile the package manually when you upgrade to the latest release.
leaver.andrew@gmail.com wrote:
> On Sep 6, 9:53 pm, LittleAlex <alex.lo...@email.com> wrote: >> On Sep 6, 9:12 am, Paul Urbanus <urbpub...@hotmail.com> wrote: >> >> >> >>> Is there any reason I shouldn't compile the altera synthesis attributes >>> into my modelsim altera library. >>> Urb >> No. Just do it. > > The altera_syn_attributes package is included in the ModelSim AE > precompiled libraries starting with version 8.0, so you should no > longer have to compile the package manually when you upgrade to the > latest release.
I'm using ModelSim DE (Designer) in PE mode. I don't think the libraries are compatible, but I may be wrong. To avoid any issues, I thought it best to just recompile under the simuluator that I am using.
On Sep 11, 4:12 am, Paul Urbanus <urbpub...@hotmail.com> wrote:
> leaver.and...@gmail.com wrote: > > On Sep 6, 9:53 pm, LittleAlex <alex.lo...@email.com> wrote: > >> On Sep 6, 9:12 am, Paul Urbanus <urbpub...@hotmail.com> wrote: > > >>> Is there any reason I shouldn't compile the altera synthesis attributes > >>> into my modelsim altera library. > >>> Urb > >> No. Just do it. > > > The altera_syn_attributes package is included in the ModelSim AE > > precompiled libraries starting with version 8.0, so you should no > > longer have to compile the package manually when you upgrade to the > > latest release. > > I'm using ModelSim DE (Designer) in PE mode. I don't think the libraries > are compatible, but I may be wrong. To avoid any issues, I thought it > best to just recompile under the simulator that I am using.
They libraries may be compatible, but I wouldn't assume so. It's not that tough to recompile the libraries, and it's a useful skill to have. For some strange reason, I rather enjoy the idea of doing a gate-level sim on a Cyclone-III using ModelSim_XE :)