FPGARelated.com
Forums

Modelsim GTP_DUAL not recognized

Started by Ruzica April 24, 2009
  Hello all,

 I am using Modelsim 6.3 and ISE 10.1 and have a gtp_dual in my
design. When I try to simulate it, Modelsim reports the following
error:

 (vcom-1141) Identifier "gtp_dual" does not identify a component
declaration.

I have all the libraries with smartmodels installed in Modelsim, in
fact I can see the component gtp_dual in Unisim and Simprim libraries
in Modelsim workspace. However, it seems that it does not recognize
this option.
Does someone know what could be the problem?
Many thanks.

Ruzica
On Fri, 24 Apr 2009 04:09:51 -0700 (PDT), Ruzica wrote:

> I am using Modelsim 6.3 and ISE 10.1 and have a gtp_dual in my >design. When I try to simulate it, Modelsim reports the following >error: > > (vcom-1141) Identifier "gtp_dual" does not identify a component >declaration. > >I have all the libraries with smartmodels installed in Modelsim, in >fact I can see the component gtp_dual in Unisim and Simprim libraries >in Modelsim workspace. However, it seems that it does not recognize >this option. >Does someone know what could be the problem?
Errrm, it sounds like there's no component declaration :-) The fact that an entity/architecture exists in a library does not allow the VHDL compiler to know about it by magic. You probably forgot a use clause, for the package containing the gtp_dual component declaration. And the synthesis tool (ISE?) probably cheats, and assumes it knows all about the Xilinx primitives already. I can't find the gtp_dual component anywhere in my Xilinx simulation library sources; what am I missing? -- Jonathan Bromley, Consultant DOULOS - Developing Design Know-how VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK jonathan.bromley@MYCOMPANY.com http://www.MYCOMPANY.com The contents of this message may contain personal views which are not the views of Doulos Ltd., unless specifically stated.
On Apr 24, 1:26=A0pm, Jonathan Bromley <jonathan.brom...@MYCOMPANY.com>
wrote:
> On Fri, 24 Apr 2009 04:09:51 -0700 (PDT), Ruzica wrote: > > I am using Modelsim 6.3 and ISE 10.1 and have a gtp_dual in my > >design. When I try to simulate it, Modelsim reports the following > >error: > > > (vcom-1141) Identifier "gtp_dual" does not identify a component > >declaration. > > >I have all the libraries with smartmodels installed in Modelsim, in > >fact I can see the component gtp_dual in Unisim and Simprim libraries > >in Modelsim workspace. However, it seems that it does not recognize > >this option. > >Does someone know what could be the problem? > > Errrm, it sounds like there's no component declaration :-) > > The fact that an entity/architecture exists in a library > does not allow the VHDL compiler to know about it by magic. > You probably forgot a use clause, for the package containing > the gtp_dual component declaration. =A0And the synthesis tool > (ISE?) probably cheats, and assumes it knows all about the > Xilinx primitives already. >
Hi Jonathan, Thanks for your answer. I just saw that the component GTP_DUAL is not inside the package unisim.vcomponents. Instead it is instantiated as an entity in another file. How can I tell Modelsim where to search for this component instantiation?
> I can't find the gtp_dual component anywhere in my Xilinx > simulation library sources; what am I missing? > --
It should be defined in the folder where ISE has been installed in the path Xilinx\10.1\ISE\vhdl\src\unisims. You should follow the guidelines in http://www.xilinx.com/support/answers/24800.htm in order to install it in Modelsim.
On Fri, 24 Apr 2009 05:53:04 -0700 (PDT), Ruzica wrote:

>Thanks for your answer. I just saw that the component GTP_DUAL is not >inside the package unisim.vcomponents. Instead it is instantiated as >an entity in another file. How can I tell Modelsim where to search for >this component instantiation?
Probably the easiest fix is to use direct instantiation. Where you currently have some_instance_name: GTP_DUAL generic map (whatever) port map (whatever); you could instead write some_instance_name: entity THE_LIB.GTP_DUAL generic map (whatever) port map (whatever); where THE_LIB is the name of the library into which GTP_DUAL has already been compiled. Using direct instantiation in this way, there is no need for a component declaration.
>> I can't find the gtp_dual component anywhere in my Xilinx >> simulation library sources; what am I missing? >> -- >It should be defined in the folder where ISE has been installed in the >path Xilinx\10.1\ISE\vhdl\src\unisims. You should follow the >guidelines in http://www.xilinx.com/support/answers/24800.htm >in order to install it in Modelsim.
Yes. I think I was just being stupid, and looking at my WebPack installation which perhaps lacks those components. -- Jonathan Bromley, Consultant DOULOS - Developing Design Know-how VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK jonathan.bromley@MYCOMPANY.com http://www.MYCOMPANY.com The contents of this message may contain personal views which are not the views of Doulos Ltd., unless specifically stated.
"Ruzica" <ruleevropa@yahoo.co.uk> wrote in message 
news:28fbcf44-7560-446a-aef2-c93922f67c8c@k8g2000yqn.googlegroups.com...
> Hello all, > > I am using Modelsim 6.3 and ISE 10.1 and have a gtp_dual in my > design. When I try to simulate it, Modelsim reports the following > error: > > (vcom-1141) Identifier "gtp_dual" does not identify a component > declaration. > > I have all the libraries with smartmodels installed in Modelsim, in > fact I can see the component gtp_dual in Unisim and Simprim libraries > in Modelsim workspace. However, it seems that it does not recognize > this option. > Does someone know what could be the problem? > Many thanks. > > Ruzica
This might help you out: http://www.xilinx.com/support/answers/31125.htm Hans www.ht-lab.com
On Apr 24, 3:35=A0pm, Jonathan Bromley <jonathan.brom...@MYCOMPANY.com>
wrote:
> On Fri, 24 Apr 2009 05:53:04 -0700 (PDT), Ruzica wrote: > >Thanks for your answer. I just saw that the component GTP_DUAL is not > >inside the package unisim.vcomponents. Instead it is instantiated as > >an entity in another file. How can I tell Modelsim where to search for > >this component instantiation? > > Probably the easiest fix is to use direct instantiation. > Where you currently have > > =A0 some_instance_name: GTP_DUAL > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 generic map (whatever) > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 port map (whatever); > > you could instead write > > =A0 some_instance_name: entity THE_LIB.GTP_DUAL > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 generic map (whatever) > =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 port map (whatever); > > where THE_LIB is the name of the library into which GTP_DUAL > has already been compiled. =A0Using direct instantiation > in this way, there is no need for a component declaration. > > >> I can't find the gtp_dual component anywhere in my Xilinx > >> simulation library sources; what am I missing? > >> -- > >It should be defined in the folder where ISE has been installed in the > >path Xilinx\10.1\ISE\vhdl\src\unisims. You should follow the > >guidelines inhttp://www.xilinx.com/support/answers/24800.htm > >in order to install it in Modelsim. > > Yes. =A0I think I was just being stupid, and looking at my > WebPack installation which perhaps lacks those components. > -- > Jonathan Bromley, Consultant > > DOULOS - Developing Design Know-how > VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services > > Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK > jonathan.brom...@MYCOMPANY.comhttp://www.MYCOMPANY.com > > The contents of this message may contain personal views which > are not the views of Doulos Ltd., unless specifically stated.
Thanks a lot. This works! It's not so handy as when I use gtp for implementation in ISE, as I can define only the signals that I am using, and the tool deals with the rest of them. Now I have to say what happens to each of the component ports, but I wouldn't call that a problem :-) Cheers, Ruzica