FPGARelated.com
Forums

generic mapping

Started by Steve Wenner April 16, 2004
Hello,
I am trying to create a test file to get a handle on 1. generic mapping and
2. multiple architectures within a file.  Could someone tell me what I am
doing wrong?  I am using the latest Quartus freeware however it doesn't seem
to like how I have called the architecture from the top level file (tester).


thanks much,

-- 
Steve Wenner


begin 666 tester.vhd
M;&EB<F%R>2!)145%.PT*=7-E(&EE964N<W1D7VQO9VEC7S$Q-C0N86QL.PT*
M#0IE;G1I='D@=&5S=&5R(&ES#0H)<&]R="AM+"!R.B!I;B!S=&1?;&]G:6,[
M(&]U='!U=#H@;W5T('-T9%]L;V=I8RD[#0IE;F0@=&5S=&5R.PT*#0IA<F-H
M:71E8W1U<F4@<W1R=6-T=7)E(&]F('1E<W1E<B!I<PT*#0IC;VUP;VYE;G0@
M86YD9V%T92!I<PT*"6=E;F5R:6,@*%1P9#IT:6UE*3L-"@EP;W)T*&$L(&(Z
M:6X@<W1D7VQO9VEC.R!C.B!O=70@<W1D7VQO9VEC*3L-"F5N9"!C;VUP;VYE
M;G0[#0H-"F)E9VEN#0H-"G-T979E.B!A;F1G871E*'=I=&AT:6UE*0T*"6=E
M;F5R:6,@;6%P*%1P9" ]/B Q-&YS*0T*"7!O<G0@;6%P* T*"0EA(#T^("AM
M(&%N9"!R*2P-"@D)8B ]/B!R+ T*"0EC(#T^(&]U='!U="D[#0H-"F5N9#L)
`
end

begin 666 andgate.vhd
M+2US86UP;&4@='=O(&%R8VAI=&5C='5R92!F:6QE#0H-"FQI8G)A<GD@:65E
M93L-"G5S92!I965E+G-T9%]L;V=I8U\Q,38T+F%L;#L-"@T*96YT:71Y(&%N
M9&=A=&4@:7,-"@EG96YE<FEC*%1P9#IT:6UE.CTR;G,I.PT*"7!O<G0H82P@
M8CH):6X@<W1D7VQO9VEC.PEC.B!O=70@<W1D7VQO9VEC*3L-"F5N9"!E;G1I
M='D@86YD9V%T93L-"@D-"F%R8VAI=&5C='5R92!W:71H=&EM92!O9B!A;F1G
M871E(&ES#0IB96=I;@T*"6,@/#T@82!A;F0@8B!A9G1E<B!4<&0[#0IE;F0@
M87)C:&ET96-T=7)E('=I=&AT:6UE.PT*#0H-"F%R8VAI=&5C='5R92!N;W1I
M;64@;V8@86YD9V%T92!I<PT*8F5G:6X-"@EC(#P](&$@86YD(&([#0IE;F0@
487)C:&ET96-T=7)E(&YO=&EM93L`
`
end