FPGARelated.com
Forums

Xilinx ISE 11.1 crash - Visual Studio error

Started by Daku February 9, 2010
Could some Xilinx ISE guru provide some hints for my problem ? I am
trying to synthesize a simple 4K RAM block with Xilinx ISE. About half-
way through execution, I get an error message, inside a Visual Studio
dialog box, stating that xst.exe ( the Xilinx synthesis executable)
has encountered a problem and would I like to debug ? The Xilinx ISE
is running
on a XP machine.
Any hints, suggestions would be of immense help.
Daku <dakupoto@gmail.com> writes:

> Could some Xilinx ISE guru provide some hints for my problem ? I am > trying to synthesize a simple 4K RAM block with Xilinx ISE. About half- > way through execution, I get an error message, inside a Visual Studio > dialog box, stating that xst.exe ( the Xilinx synthesis executable) > has encountered a problem and would I like to debug ? The Xilinx ISE > is running
Can you try synthesizing a much smaller RAM (say 128 words?) Can you post your code? What does the synthesis logfile say? You haven't given us much to go on! Cheers, Martin -- martin.j.thompson@trw.com TRW Conekt - Consultancy in Engineering, Knowledge and Technology http://www.conekt.net/electronics.html
On Mon, 8 Feb 2010 21:04:49 -0800 (PST)
Daku <dakupoto@gmail.com> wrote:

> Could some Xilinx ISE guru provide some hints for my problem ? I am > trying to synthesize a simple 4K RAM block with Xilinx ISE. About > half- way through execution, I get an error message, inside a Visual > Studio dialog box, stating that xst.exe ( the Xilinx synthesis > executable) has encountered a problem and would I like to debug ? The > Xilinx ISE is running > on a XP machine. > Any hints, suggestions would be of immense help.
The entire 11.x tool chain has been, in my experience, an unmitigated disaster. You might could try upgrading to the latest version, 11.4. There's a chance it'll fix your problem. Then again, there's a chance that it will create a new, unrelated problem, too. But at least if the problem is moving around you can claim you've got movement on the problem. Xilinx tells me that 12.1 will be better, come April. Now if only all _my_ customers were willing to sit around and wait for two extra months. -- Rob Gaddi, Highland Technology Email address is currently out of order
Rob Gaddi wrote:

> The entire 11.x tool chain has been, in my experience, an unmitigated > disaster. You might could try upgrading to the latest version, 11.4. > There's a chance it'll fix your problem.
I've had good luck with 10.1. -- Mike Treseler
On Tue, 09 Feb 2010 09:19:20 -0800
Mike Treseler <mtreseler@gmail.com> wrote:

> Rob Gaddi wrote: > > > The entire 11.x tool chain has been, in my experience, an > > unmitigated disaster. You might could try upgrading to the latest > > version, 11.4. There's a chance it'll fix your problem. > > I've had good luck with 10.1. > > -- Mike Treseler
So did I, right up until I started a Spartan 6 design. Thus began my life as a tool fighter rather than a logic designer. -- Rob Gaddi, Highland Technology Email address is currently out of order