FPGARelated.com
Forums

Is it just me or are some FPGA people very protective?

Started by SpiderKenny 8 years ago6 replieslatest reply 8 years ago192 views

I'm just a newbie when it comes to FPGA. I do have a few successful projects under my belt, but I'm not so brave to think that's enough to call myself an FPGA engineer.

However, when I have, from time to time, wanted to seek out some peer help/review, and posted some code or questions in some other FPGA forums, then I've found that I mostly get unhelpful terse replies telling me about how bad my verilog is, but very little in the way of constructive help and encouragement.

When I compare this to non-FPGA forums where I do consider myself to be an expert (embedded electronics, audio etc) I find these fora are full of helpful people who go out of their way to encourage the newbies.

I freely acknowledge that my verilog skills are just embryonic, but I wasn't expecting the holier-than-thou responses I got in some other forums. I'm not a kiddie! I've been working full time in electronics since 1985.

It seems some FPGA experts are very protective of their market and don't welcome new players.

I'm really hoping this forum will be different!

Is it just me?

[ - ]
Reply by adamt99April 21, 2016

@SpiderKenny 

I am sorry that you have come across that, I always try and help newbies and experienced engineers alike if I can. I work on the idea that I never know when i will need some help myself when I run into a issue. 

I am not a verilog guy more VHDL but if I can help I am happy to do so. 

Adam 

[ - ]
Reply by SpiderKennyApril 21, 2016

@adamt99 - Thanks! This forum definitely seems to be full of kind and good natured people. It's a quite a marked difference to some of the others.


[ - ]
Reply by cfeltonApril 21, 2016

Hopefully you feel welcome in this forum :)

There could be a couple things going on: first you might be unlucky and are running into the few that need to tear others down to justify their self declared status.  Second, you might find that folks that contribute to forums, mailing-lists, etc. are short on time so the responses might be terse (but hopefully to the point).

In my experience, I haven't found the FPGA forums to be less or more inviting than other forums I participate.  There are lots of disagreements but for the most part folks keep to the issue and not insulting each other (but there are always the exceptions).    

[ - ]
Reply by SpiderKennyApril 21, 2016

@cfelton - Thanks for the reply.

I think I might push my head above the parapet and post some verilog code of mine here for review!

[ - ]
Reply by stephanebApril 21, 2016

@SpiderKenny, don't forget that on the *related sites, you can thank and reward people who help you by clicking on the beer button.  You can even click multiple times if you want to offer more than one beer to a given user.  

[ - ]
Reply by SpiderKennyApril 21, 2016

Yes - @stephaneb I did that :-)