FPGARelated.com

Norman Lo (@gundamz2001)

My job title is software engineer but a recent project required me to do some programming on a PowerPC/Virtex-5 combo so I am trying to learn as much as I can.

Re: Writing 16-bit data to bram

Reply posted 6 years ago (04/26/2018)
The address itself should be 32-bit and for PLB, the Bus2IP_Addr is 32-bit also. Inside the vhdl code, it trims the 32-bit address to 17-bit address needed by the...

Re: Writing 16-bit data to bram

Reply posted 6 years ago (04/26/2018)
It's good to discuss this along with someone since I may miss something obvious.The C code is just the following:short *addr = 0x41000000; *addr = 1; // This will...

Re: Writing 16-bit data to bram

Reply posted 6 years ago (04/25/2018)
I assume you are talking about the lines:----when "01000000000000000000" =>----for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop----if ( Bus2IP_BE(byte_index) =...

Writing 16-bit data to bram

New thread started 6 years ago
Hello,I am currently working on a Xilinx development board that has PowerPC and virtex 5. I used Xilinx core generator to instantiate a bram with data width size...

Use this form to contact gundamz2001

Before you can contact a member of the *Related Sites:

  • You must be logged in (register here)
  • You must confirm you email address