Reply by September 1, 20042004-09-01
Vincent,

Thanks for this, but I do have a MIF file that looks correct, and I regenerated the core after I associated the COE file with the core - but it still has the same error.

Any help much appreciated!

Thanks
Reply by Vincent August 27, 20042004-08-27
This is a multi-part message in MIME format.
--------------090902040809040404000403
Content-Type: text/plain; charset=us-ascii; format=flowed
Content-Transfer-Encoding: 7bit

Hi,

Modelsim needs a *.MIF file to know what is the ROM initialization in 
behavioral simulation. I am not sure if COREGEN creates one for you but 
I think so.
Anyway, if you change the coe file you have to regenerate the CORE to be 
sure ISE take it into account.
Find attached an example of a MIF file and COE file.
I hope this helps.

Vincent.

Adam wrote:
> I am using Modelsim XE II/Starter 5.7g to try and mdoel some ROM that I > have instantiated using COREGEN. > > I have associated a .COE file with the ROM in COREGEN with the values > that I would like. > > However I get an error message in Modelsim when I try to run the > simulation saying "failed to open VHDL file "romvalues.mif" in rb mode". > > Does anyone know how I can set up the initial values in the ROM? > > Thanks very much > > Adam Morris
--------------090902040809040404000403 Content-Type: text/plain; name="instmem_core.mif" Content-Transfer-Encoding: 7bit Content-Disposition: inline; filename="instmem_core.mif" 10001100010000010000000000000001 00000100011000010000000000000001 00000100011000110000000000000001 10000100011000110000000000000001 10000100011000110000000000000001 00010000100000010000000000000000 00010000100000010000000000000001 00010000100000000000000000000001 00010000100000000000000000000000 00010000100000000000000000000001 00010100101000010000000000000001 00010100101000000000000000000000 00010100101000010000000000000000 00010100101000000000000000000000 00010100101000000000000000000001 00011100110000010000000000000001 00011100110000010000000000000000 00011100110000010000000000000001 00011100110000000000000000000001 00011100110000010000000000000001 00011100110000000000000000000000 00011100110000010000000000000001 00100100000000100000000000000000 10100100000000110000001000000000 10100100000001000000010000000000 10100100000001010000011000000000 10100100000001100000100000000000 00100000111000000000000000000000 10100001000000000000001000000000 10100001001000000000010000000000 10100001010000000000011000000000 10100001011000000000100000000000 01001000000000010100011000000001 00000000000000000000000000000000 00000000000000000000000000000000 00000000000000000000000000000000 01010010000000000000000000000000 00000000000000000000000000000000 --------------090902040809040404000403 Content-Type: text/plain; name="instructions.coe" Content-Transfer-Encoding: 7bit Content-Disposition: inline; filename="instructions.coe" memory_initialization_radix=2; memory_initialization_vector= 10001100010000010000000000000001, 00000100011000010000000000000001, 00000100011000110000000000000001, 10000100011000110000000000000001, 10000100011000110000000000000001, 00010000100000010000000000000000, 00010000100000010000000000000001, 00010000100000000000000000000001, 00010000100000000000000000000000, 00010000100000000000000000000001, 00010100101000010000000000000001, 00010100101000000000000000000000, 00010100101000010000000000000000, 00010100101000000000000000000000, 00010100101000000000000000000001, 00011100110000010000000000000001, 00011100110000010000000000000000, 00011100110000010000000000000001, 00011100110000000000000000000001, 00011100110000010000000000000001, 00011100110000000000000000000000, 00011100110000010000000000000001, 00100100000000100000000000000000, 10100100000000110000001000000000, 10100100000001000000010000000000, 10100100000001010000011000000000, 10100100000001100000100000000000, 00100000111000000000000000000000, 10100001000000000000001000000000, 10100001001000000000010000000000, 10100001010000000000011000000000, 10100001011000000000100000000000, 01001000000000010100011000000001, 00000000000000000000000000000000, 00000000000000000000000000000000, 00000000000000000000000000000000, 01010010000000000000000000000000, 00000000000000000000000000000000, 00000000000000000000000000000000; --------------090902040809040404000403--
Reply by August 27, 20042004-08-27
I am using Modelsim XE II/Starter 5.7g to try and mdoel some ROM that I have instantiated using COREGEN.  <br><br>I have associated a .COE file with the ROM in COREGEN with the values that I would like. <br><br>However I get an error message in Modelsim when I try to run the simulation saying "failed to open VHDL file "romvalues.mif" in rb mode".  <br><br>Does anyone know how I can set up the initial values in the ROM? <br><br>Thanks very much <br><br>Adam Morris