Reply by the clever Bit February 7, 20202020-02-07
On Friday, February 7, 2020 at 10:38:12 AM UTC, Jan Coombs wrote:
> > Have you tried running the build process without gtkwave > included?
good catch. The warning messages appear on simulation with GHDL not gtkwave. I silenced it with --ieee-asserts=disable-at-0.
Reply by Jan Coombs February 7, 20202020-02-07
On Thu, 6 Feb 2020 23:32:50 -0800 (PST)
the clever Bit <hex7c3@gmail.com> wrote:

> I read the command line options in the manual and it doesn't seem like it is possible to suppress messages.
Have you tried running the build process without gtkwave included?
Reply by the clever Bit February 7, 20202020-02-07
I read the command line options in the manual and it doesn't seem like it is possible to suppress messages.
Reply by the clever Bit February 7, 20202020-02-07
hello, 

is there any way to suppress assertion warnings from std.numeric in gtkwave simulator?

thank you