Reply by Andreas Schallenberg November 21, 20062006-11-21
Utku �zcan wrote:

> ... > Tell me from which university and departmant you are, and also your > name, then I can answer your question. Of course for free ;-) > ...
The message contained: Path: uni-berlin.de!fu-berlin.de!news.maxwell.syr.edu!postnews.google.com!f16g2000cwb.googlegroups.com!not-for-mail So you already know the university :) Andreas
Reply by November 17, 20062006-11-17
Tell me from which university and departmant you are, and also your
name, then I can answer your question. Of course for free ;-)

Student (confused) schrieb:

> Hello, > > Can someone point me to simple implementation of FFT in VHDL(or > Verilog) with testbench and good step-by-step description. I have > implementation from Xilinx (which I will eventually use for hardware > implementation), but I find it rather confusing (lack of vhdl > experience). Algorithm used, # of points, Radix#, bit precision do not > matter, as I'm looking for tutorial-like implementation. > The best example of what I'm looking for is (found using google) > http://www.opencores.org/projects.cgi/web/cf_fft/overview > http://www.opencores.org/cvsweb.shtml/fft/ > > Thanks.
Reply by Student (confused) November 14, 20062006-11-14
Hello,

Can someone point me to simple implementation of FFT in VHDL(or
Verilog) with testbench and good step-by-step description. I have
implementation from Xilinx (which I will eventually use for hardware
implementation), but I find it rather confusing (lack of vhdl
experience). Algorithm used, # of points, Radix#, bit precision do not
matter, as I'm looking for tutorial-like implementation.
The best example of what I'm looking for is (found using google)
http://www.opencores.org/projects.cgi/web/cf_fft/overview
http://www.opencores.org/cvsweb.shtml/fft/

Thanks.