Reply by November 24, 20062006-11-24
Hello,
I have defined some signals which I plan to change dinamicaly with
MicroBlaze as submodule.
Signals are organized as arrays and I will use one index and one data
port to access them (like PicoBlaze IO ports).

What is the best way of interfacing these signals between the
MicroBlaze and the top level?