Reply by A. Abellard April 6, 20042004-04-06
(2nd version of the message... more correct English this time)

Hello,
I would like to request some help for some students that work on an
Altera Stratix EP1S25 development board
http://www.altera.com/products/devkits/altera/kit-dsp_stratix.html

They try to deal with the Digital Analog Conversion , so they wrote
programs on VHDL where they declare a bit vector with a certain value,
and send it to the Digital Analog Converter to see the values on the 
output. But... no
matter the value they use, they always have zero volt on the outputs
However, I think they were very careful with the pins numbers
assignements of the components on the board.

And it seems they have the same kind of problem with the ADC
(receiving a simple voltage, and transfer it directly to the outputs
via the Stratix).

Can someone please help them (and me, by the way ?). I'm just
searching for some VHDL code to test the DAC and ADC conversions.

Many thanks,
Regards,
A. Abellard