Reply by Anssi Saari April 21, 20102010-04-21
"HT-Lab" <hans64@ht-lab.com> writes:

> Although ISIM is not bad it is still a long way from being Modelsim (even though > the MXE(starter) edition is fairly limited),
I dunno, but I was still able to download the latest Modelsim MXE from Xilinx today, version 6.4b from 04/06/2009. But considering it's fairly old, maybe it's true. I'm sure Xilinx is very happy if they can stop stuffing Mentor's coffers and ship their own ISIM instead.
Reply by Ed McGettigan April 21, 20102010-04-21
On Apr 21, 1:19=A0am, "HT-Lab" <han...@ht-lab.com> wrote:
> I received a Sigasi Editor update email which had the following statement=
:
> > "Xilinx no longer ships ModelSim with ISE but now ships its own HDL simul=
ator
> that enables functional and timing simulations for VHDL, Verilog and mixe=
d
> VHDL/Verilog designs: ISim." > > Is this correct? > > Although ISIM is not bad it is still a long way from being Modelsim (even=
though
> the MXE(starter) edition is fairly limited), > > Hanswww.ht-lab.com
Xilinx still provides ModelSim XE (Xilinx Edition), http://www.xilinx.com/tools/mxe.htm Ed McGettigan -- Xilinx Inc
Reply by General Schvantzkoph April 21, 20102010-04-21
On Wed, 21 Apr 2010 09:19:10 +0100, HT-Lab wrote:

> I received a Sigasi Editor update email which had the following > statement: > > "Xilinx no longer ships ModelSim with ISE but now ships its own HDL > simulator that enables functional and timing simulations for VHDL, > Verilog and mixed VHDL/Verilog designs: ISim." > > Is this correct? > > Although ISIM is not bad it is still a long way from being Modelsim > (even though the MXE(starter) edition is fairly limited), > > Hans > www.ht-lab.com
Has anyone here tried the Xilinx simulator? How is it's performance relative to commercial simulators? Modelsim Starter is severely crippled so it wouldn't be a particularly hard task to produce a simulator that out performs it. Both Xilinx and Altera of produced synthesis tools that are competitive with Synplify, it would be interesting if Xilinx had ambitions to produce a simulator that was as fast as Questa, or better yet as fast as NCsim.
Reply by Marc Guardiani April 21, 20102010-04-21
On Apr 21, 4:19=A0am, "HT-Lab" <han...@ht-lab.com> wrote:
> I received a Sigasi Editor update email which had the following statement=
:
> > "Xilinx no longer ships ModelSim with ISE but now ships its own HDL simul=
ator
> that enables functional and timing simulations for VHDL, Verilog and mixe=
d
> VHDL/Verilog designs: ISim." > > Is this correct? > > Although ISIM is not bad it is still a long way from being Modelsim (even=
though
> the MXE(starter) edition is fairly limited), > > Hanswww.ht-lab.com
Interesting. Altera is taking exactly the opposite approach. The first time I started Quartus II v9.1 SP1 I got a message that said their native simulator would not be included "in future versions" of the software. Talking with my FAE, he stated that starting with version 10.0 only ModelSim-Altera would be included. --Marc
Reply by HT-Lab April 21, 20102010-04-21
I received a Sigasi Editor update email which had the following statement:

"Xilinx no longer ships ModelSim with ISE but now ships its own HDL simulator 
that enables functional and timing simulations for VHDL, Verilog and mixed 
VHDL/Verilog designs: ISim."

Is this correct?

Although ISIM is not bad it is still a long way from being Modelsim (even though 
the MXE(starter) edition is fairly limited),

Hans
www.ht-lab.com