FPGARelated.com
Forums

integrate microblaze in ISE and VHDL code

Started by rody786 May 25, 2014
Dear all,

I'm beginner to use microblaze. I made a simple program to read two numbers
from uart and add them using microblaze.

For further purposes I want to integrate the microblaze as subcomponnet in
a top level VHDL code, I need to get the two numbers read from the uart
(for the microblaze operation)  in another vhdl component.
How could I do that??

Thanks 

	   
					
---------------------------------------		
Posted through http://www.FPGARelated.com