FPGARelated.com
Forums

BRAM initialization / bitstream configuration

Started by Unknown May 6, 2008
Hi ,
The bitstream takes heed of BRAM , so my questions are :

* Is it true that all the zeros that we localise in the beginning of
configurable part ( of bitstream) correspond to BRAM initialization ?
* how could i initialize BRAM differently ?

Thank you !

M.B
M.B.

http://www.xilinx.com/products/ipcenter/dr_dt_data2mem.htm

(data2mem tool)

Describes how you place the program binary into a BRAM so it may be
executed by the soft or hardened processor in the FPGA.

For initializing the BRAM contents using VHDL, or verilog:

http://toolbox.xilinx.com/docsan/xilinx9/help/platform_studio/html/ps_c_sim_memory_init_files.htm

If you do nothing, BRAM is automatically initialized to all 0's by
default by the bitstream (if nothing else is specified, BRAMs will have
0's for their contents in the bitstream).

Austin
Austin ,

Exactly what i need ! thank you !

M.B