FPGARelated.com
Forums

hex rep. in VHDL

Started by anupam October 28, 2005
Mark McDougall wrote:
> Mike Treseler wrote: > >> I can tolerate >> foo(4 downto 0) <= "11111"; -- or >> foo(4 downto 0) <= "1" & x"F"; >> to get compile time width checking >> on all vectors. > > Warning #1034: X"1F" width 8 truncated to width 5 in assignment.
Yes. Your example has a width mismatch. Those above do not. Try it and see. -- Mike Treseler
Mike Treseler wrote:

> Yes. Your example has a width mismatch. Those above do not. Try it > and see.
Not quite sure what point you're making here Mike?!? Anyway, to kill the thread, let's agree to disagree. I come from a software background, which might explain why I'm lazy and spoilt with feature-rich languages and powerful pre-processors. ;) Regards, Mark