FPGARelated.com
Forums

FPGA Market Entry Barriers

Started by Unknown October 18, 2018
On 27/10/2018 04:38, gnuarm.deletethisbit@gmail.com wrote:
> On Friday, October 26, 2018 at 10:25:57 PM UTC-4, Kevin Neilson wrote: >>> That may be, but expired patents aren't really significant. The basic functionality of the LUT/FF and routing have been available for quite some time now. The details of FPGA architectures only matter when you are competing head to head. That's why Silicon Blue focused on a market segment that was ignored by the big players. The big two chase the telecom market with max capacity, high pin count barn burners and the other markets are addressed with the same technology making it impossible to compete in the low power areas. In the end no significant user who is considering an iCE40 part even looks at a part from Xilinx or Altera. >>> >>> Rick C. >> >> I don't do hobbyist stuff anymore since I'm too busy with work but I would think one could just use eval boards. I don't know why a DIP would be required. I don't know about the cost of the tools for a hobbyist, though. > > Tools are zero cost, no? I bought tools once, $1500 I believe. Ever since I just use the free versions. > > >> As for the high pin counts, I would think that the need would be mitigated with all the high-speed serial interfaces. > > Uh, tell that to Xilinx, Altera, Lattice and everyone else (which I guess means Microsemi). Lattice has some low pin count parts for the iCE40 line, but they are very fine pitch BGA type devices which are hard to route. Otherwise the pin counts tend to be much higher than what I consider to be a similar MCU if not high pin count by all measures. > > Rick C. >
Lattice have some ice40 series parts in 48pin 0.5mm pitch QFN, easily hotplate and hand solderable. Altera have MAX10 with 50kLUTs (approx) in 144 pin TQFP. There are no modern Xilinx parts in other than BGA but you can get Artix and Spartan 7 in 1mm pitch BGA. Should be possible to use on low cost (0.15mm track and gap) 4 layer boards. I mean to try quite soon - I'll let you know. MK
On 27/10/2018 13:12, Theo wrote:
..
>> www.synthworks.com/papers/VHDL_2008_end_of_verbosity_2013.pdf >> >> Personally I think verbosity is a good thing as it makes it easier to >> understand somebody else's code.
I should have said "verbosity to a certain degree", of course you can have too much verbosity which can lead to bugs (TLDR phenomenon). There are occasions where you want to have concise code. In my C code I do use the '?' operator quite a bit.
> > I'm don't have much to do with VHDL, but that sounds like it's making a bad > thing slightly less bad. I'd be interested if you could point me towards an > example of tight VHDL? >
I cannot answer this as it is too broad.
> The other issue that that a lot of these updated VHDL and Verilog standards > take a long time to make it into the tools. So if you code in a style > that's above the lowest common denominator, you're now held hostage about > using the particular tool that supports your chosen constructs.
<rant> Tell me about it, I am currently wasting time removing VHDL2008 constructs as Quartus Pro supports VHDL2008 but Quartus Prime does not. Why does Intel think it is a good approach to have 2 fully supported P&R products with different language support? Customers using a Cyclone10GX cannot easily move to Cyclone10LP without mutilating their VHDL. </rant> But to answer your question, I think you just shot yourself in the foot as BlueSpec BSV is a worse hostage taker than a standardised VHDL2008/SV language. As far as I can tell Bluespec BSV is not an open standard and there is no second source so any company using BSV is locked into the Bluespec's toolchain. At least with VHDL2008/SV I can move to many other vendors. I haven't spend much time looking into Bluespec but I do know that many companies are nervous adopting a custom language supported by a single vendor.
> > There's another type of tool out there, that compiles to Verilog as its > 'assembly language'. Basic register-transfer Verilog is pretty universally > supported, and so they support most toolchains. > > As regards FIFOs, here's a noddy example: > > > import FIFO::*; > > interface Pipe_ifc; > method Action send(Int#(32) a); > method ActionValue#(Int#(32)) receive(); > endinterface > > module mkDoubler(Pipe_ifc); > FIFO#(Int#(32)) firstfifo <- mkFIFO; > FIFO#(Int#(32)) secondfifo <- mkFIFO; > > rule dothedoubling; > let in = firstfifo.first(); > firstfifo.deq; > secondfifo.enq ( in * 2 ); > endrule > > method Action send(Int#(32) a); > firstfifo.enq(a); > endmethod > > method ActionValue#(Int#(32)) receive(); > let result = secondfifo.first(); > secondfifo.deq; > return result; > endmethod > > endmodule > > > This creates a module containing two FIFOs, with a standard pipe interface - > a port for sending it 32 bit ints, and another for receiving 32 bit ints > back from it. Inside, one FIFO is wired to the input of the module, the > other to the output. When data comes in, it's stored in the first FIFO. > When there is space in the second FIFO, it's dequeued from the first, > doubled, and enqueued in the second. If any FIFO becomes full, backpressure > is automatically applied. There's no chance of data getting lost by missing > control signals. >
Impressive example, however, I am not sure if any other high level RTL language will be a lot more verbose. You are instantiating a FIFO and connecting the ports with a bit of control logic right?
> This is Bluespec's BSV, not VHDL or Verilog. The compiler type checked it > for me, so I'm very confident it will work first time. I could have made it > polymorphic (there's nothing special about 32 bit ints here) with only a > tiny bit more work. It compiles to Verilog which I can then synthesise. > > Notice there are no clocks or resets (they're implicit unless you say you > want multiple clock domains), no 'if valid is high then' logic, it's all > taken care of. This means you can write code that does a lot of work very > concisely.
It looks like BSV sits between RTL and HLS, you have raised the level of abstraction but still have an implicit clock. Thanks for the example, Hans www.ht-lab.com
> > Theo >
> And that is exactly my point. The problem you point out is not a problem related in any way to implementing in FPGAs, it's that the design is inherently complex. While you may be able to define the design in an abstract way in Matlab, that is not the same thing as an implementation in *any* medium or target. > > Your claim was, "the whole FPGA market is limited because any real work must be done by experiences specialists working at a very low level of abstraction". This isn't a problem with the FPGA aspect, it is a problem with the task being implemented since it would be the same problem with any target.
It's hard to turn abstract sequential code into a parallelized algorithm. But a more basic issue is the poor tools and lack of IP that requires low-level coding for everything, almost as if a C coder had to write his own printf() function. If you just want to implement an algorithm and speed and gates are not an issue, then yes, things are easy. But we rarely get projects like that, because those are done in microcontrollers.
> I'm not sure how you can do that in any language unless fifo.push_front() is already defined. Are you suggesting it be a part of a language? In C there are many libraries for various commonly used functions. In VHDL there are some libraries for commonly used, but low level functions, nothing like a fifo. If you write a procedure to define fifo.push_front() you can do exactly this, but there is none written for you. >
That is actual syntax from Systemverilog. When I want to set up a FIFO in a testbench, I declare a queue and use the .push_front() and .pop_back() methods. It's already in the language; it just isn't supported in synthesis. This isn't a case where I'm asking the tool to convert sequential to parallel; I'm just asking it to look for a function call and instantiate a FIFO. Just something to make the code a little easier to write, read, and maintain.
> The other issue that that a lot of these updated VHDL and Verilog standards > take a long time to make it into the tools. So if you code in a style > that's above the lowest common denominator, you're now held hostage about > using the particular tool that supports your chosen constructs.
This is the problem I run into all the time. No matter how low-level I write something, some tool somewhere won't like it and I have to write it in a yet lower level. It's amazing how many tools can't parse basic things from Verilog-2005. It's been thirteen years! I ran into some tool recently, maybe it was Synopsys DC, which doesn't allow $readmemh(), which I think might from Verilog-1995, and which I've been using my whole career. I had to rewrite a bunch of code as a result. So much time spent rewriting stuff that already works.
> I am, I am just surprised that you have so a low appreciation of the > current technology. > > HLS is happening but it will be a many decades before our skill set > becomes obsolete (assuming we don't keep up). >
I've been fooled too many times before. I'm not going to be a chump and waste any more time it.
On Saturday, October 27, 2018 at 5:36:40 AM UTC-4, HT-Lab wrote:
> On 27/10/2018 07:22, gnuarm.deletethisbit@gmail.com wrote: > > On Saturday, October 27, 2018 at 12:30:55 AM UTC-4, Kevin Neilson wrote: > .. > >> It's not necessarily that it's in Matlab that makes it easy, but that it's very abstracted. It might not be that much harder in abstract SystemVerilog. What takes months is converting to a parallelized design, adding pipelining, meeting timing, placing, dealing with domain crossings, instantiating primitives when necessary, debugging, etc. The same would be true of any language. I suppose you can get an FPGA written in C to work as well, but it's not going to be *abstract* C. It's going to be the kind of C that looks like assembly, in which the actual algorithm is indiscernible without extensive comments. > > > > And that is exactly my point. The problem you point out is not a problem related in any way to implementing in FPGAs, it's that the design is inherently complex. While you may be able to define the design in an abstract way in Matlab, that is not the same thing as an implementation in *any* medium or target. > > > > Your claim was, "the whole FPGA market is limited because any real work must be done by experiences specialists working at a very low level of abstraction". This isn't a problem with the FPGA aspect, it is a problem with the task being implemented since it would be the same problem with any target. > > > > Well said. > > .. > >>> > >>> I don't know, why can't *you* infer a fifo? The code required is not complex. Are you saying you feel you have to instantiate a vendor module for that??? I recall app notes from some time ago that explained how to use gray counters to easily infer fifos. Typically the thing that slows me down in HDL is the fact that I'm using VHDL with all it's verbosity. > > really, what aspect of VHDL is slowing you down that would be quicker in > Verilog? > > www.synthworks.com/papers/VHDL_2008_end_of_verbosity_2013.pdf
That's a great paper for a newbie to learn some advantages of VHDL-2008, but it is far from justifying that VHDL isn't verbose. One of the most verbose aspects of VHDL is the need to type signals three times when instantiating modules. 1) typing the module, 2) typing the instance, 3) typing the signal declarations in the module where the instance is used. I had regular expressions I used to convert between these forms. I expect some editors do this for you. I think VHDL-2008 provides a way to avoid typing the ports in instantiations (or the whole instantiation), but I don't recall the details and I don't see it in the above paper.
> Personally I think verbosity is a good thing as it makes it easier to > understand somebody else's code.
Sure, that's no small reason why I haven't switched. The guys who's Verilog code I see seem to eschew white space and cram it all together. I find that alone to make the code hard to read. But it's all about what you are used to really. They seem to get along just fine.
> >Some tools help with that, but I don't have those. I've just never bitten the bullet to try working much in Verilog. > > I would forget about Verilog as it has too many quirks, go straight to > SystemVerilog (or just stick with VHDL).
I'm not doing much with any HDL so little chance of working with anything other than VHDL at the moment. These days I mostly receive POs and issue POs. Rick
On Saturday, October 27, 2018 at 8:12:05 AM UTC-4, Theo wrote:
> HT-Lab <hans64@htminuslab.com> wrote: > > On 27/10/2018 07:22, gnuarm.deletethisbit@gmail.com wrote: > > > On Saturday, October 27, 2018 at 12:30:55 AM UTC-4, Kevin Neilson wrote: > > > >>> I don't know, why can't *you* infer a fifo? The code required is not > > >>> complex. Are you saying you feel you have to instantiate a vendor > > >>> module for that??? I recall app notes from some time ago that > > >>> explained how to use gray counters to easily infer fifos. Typically > > >>> the thing that slows me down in HDL is the fact that I'm using VHDL > > >>> with all it's verbosity. > > > > really, what aspect of VHDL is slowing you down that would be quicker in > > Verilog? > > > > www.synthworks.com/papers/VHDL_2008_end_of_verbosity_2013.pdf > > > > Personally I think verbosity is a good thing as it makes it easier to > > understand somebody else's code. > > I'm don't have much to do with VHDL, but that sounds like it's making a bad > thing slightly less bad. I'd be interested if you could point me towards an > example of tight VHDL?
I don't think that is the point. The verbosity of VHDL is intended. There are things that you have to do in VHDL that aren't done in Verilog where the intent is to avoid a class of mistakes. This is the reason for strong typing which brings on some verbosity. an_integer_variable <= to_integer(some_other_data_type); Sometimes the function to_integer() isn't defined for that "other" data type and you have to write the conversion function or convert to an intermediate type. The point is to not *assume* the compiler knows what the user intended and to make it all explicit. Then a subsequent reader can see exactly what was intended. In the same vein I usually use explicit parentheses rather than letting my expression default to standard order of operations. I think it is more clear to be explicit.
> The other issue that that a lot of these updated VHDL and Verilog standards > take a long time to make it into the tools. So if you code in a style > that's above the lowest common denominator, you're now held hostage about > using the particular tool that supports your chosen constructs.
It's not quite that bad. The tools support what the users request. So the most often used features are supported in most tools.
> This is Bluespec's BSV, not VHDL or Verilog. The compiler type checked it > for me, so I'm very confident it will work first time. I could have made it > polymorphic (there's nothing special about 32 bit ints here) with only a > tiny bit more work. It compiles to Verilog which I can then synthesise.
What about simulation? Is the BSV simulated or the Verilog?
> Notice there are no clocks or resets (they're implicit unless you say you > want multiple clock domains), no 'if valid is high then' logic, it's all > taken care of. This means you can write code that does a lot of work very > concisely.
How do you distinguish code that is combinatorial? Rick C.
On Saturday, October 27, 2018 at 9:52:13 AM UTC-4, Michael Kellett wrote:
> > Lattice have some ice40 series parts in 48pin 0.5mm pitch QFN, easily > hotplate and hand solderable.
I've probably seen these and forgotten them since they are a bit too small for my needs. I'm using an obsolete Lattice XP part in a 100 pin TQFP with 60+ I/Os. The 48QFN is very interesting, but won't actually fit my need. Thanks for the reminder though. Maybe two of them, lol
> Altera have MAX10 with 50kLUTs (approx) in 144 pin TQFP.
Yeah, not so small though. The package is 22 mm square and my board is only 21.6 mm wide! If I have to I can squeeze a BGA-256 on the board. I just don't want to deal with the layout issues.
> There are no modern Xilinx parts in other than BGA but you can get Artix > and Spartan 7 in 1mm pitch BGA. Should be possible to use on low cost > (0.15mm track and gap) 4 layer boards. > I mean to try quite soon - I'll let you know.
That might work. I haven't looked at replacements in awhile. Didn't even know of the 196 pin package. Thanks. For the time being I can get all the XP parts I need. Rick C.
HT-Lab <hans64@htminuslab.com> wrote:
> <rant> > Tell me about it, I am currently wasting time removing VHDL2008 > constructs as Quartus Pro supports VHDL2008 but Quartus Prime does not. > Why does Intel think it is a good approach to have 2 fully supported P&R > products with different language support? Customers using a Cyclone10GX > cannot easily move to Cyclone10LP without mutilating their VHDL. > </rant>
Oh, I hadn't realised that - that's a pain.
> But to answer your question, I think you just shot yourself in the foot > as BlueSpec BSV is a worse hostage taker than a standardised VHDL2008/SV > language. As far as I can tell Bluespec BSV is not an open standard and > there is no second source so any company using BSV is locked into the > Bluespec's toolchain. At least with VHDL2008/SV I can move to many other > vendors. > > I haven't spend much time looking into Bluespec but I do know that many > companies are nervous adopting a custom language supported by a single > vendor.
Yes, this is a big problem. It's something Bluespec Inc themselves realise too - I think they would open source the compiler but they have customers who are paying the bills, so... (There are also other toy BSV compilers around, but nothing I've seen that's production ready) However it's slightly different from the VHDL/Verilog problem. The VHDL/Verilog tools are compiling to something else (standard cells, FPGA netlists). If you're targeting Altera, you have to use Quartus for this. If you want to move your code to an ASIC, you now have to switch to Synopsys DC (or whatever), and discover that your SystemVerilog constructs aren't supported. In the BSV case, the Verilog 95 emitted by the BSV compiler can be used with Altera or Synopsys. So your backend is flexible, even if one piece of your frontend is fixed.
> Impressive example, however, I am not sure if any other high level RTL > language will be a lot more verbose. You are instantiating a FIFO and > connecting the ports with a bit of control logic right?
In that noddy example. Interfaces mean you can wrap up a lot of verbosity into a few lines. For a randomly selected example, here's a processor TLB, in 500 lines of BSV: https://github.com/CTSRD-CHERI/beri/blob/master/cheri/trunk/TLB.bsv Also, being polymorphic, you can generate a variety of different modules from the one description - which saves a lot of repetition.
> It looks like BSV sits between RTL and HLS, you have raised the level of > abstraction but still have an implicit clock.
Yes. It's still timed, so you still have decide what's going in each cycle. (or at least your idea of that - your synthesis tool may retime). And it's possible to get bubbles - your system still works, but takes more cycles because parts will stall if there's no data for them to operate on. Also, there's still skill in understanding what will impact your critical path. ISTM one issue with HLS is it's good at handling work in the datapath, but perhaps not so good in the control path. So you can describe some kinds of compute very well, but I'm not sure how well they would handle a control-heavy structure like a cache. Theo
On Saturday, October 27, 2018 at 4:14:59 PM UTC-4, Kevin Neilson wrote:
> > And that is exactly my point. The problem you point out is not a problem related in any way to implementing in FPGAs, it's that the design is inherently complex. While you may be able to define the design in an abstract way in Matlab, that is not the same thing as an implementation in *any* medium or target. > > > > Your claim was, "the whole FPGA market is limited because any real work must be done by experiences specialists working at a very low level of abstraction". This isn't a problem with the FPGA aspect, it is a problem with the task being implemented since it would be the same problem with any target. > > It's hard to turn abstract sequential code into a parallelized algorithm. But a more basic issue is the poor tools and lack of IP that requires low-level coding for everything, almost as if a C coder had to write his own printf() function. If you just want to implement an algorithm and speed and gates are not an issue, then yes, things are easy. But we rarely get projects like that, because those are done in microcontrollers.
I don't agree with that last bit. The difference in speed and density of a design is orders of magnitude between FPGAs and MCUs. There is plenty of room for easier FPGA designs that still won't be easy even if possible on an MCU.
> > I'm not sure how you can do that in any language unless fifo.push_front() is already defined. Are you suggesting it be a part of a language? In C there are many libraries for various commonly used functions. In VHDL there are some libraries for commonly used, but low level functions, nothing like a fifo. If you write a procedure to define fifo.push_front() you can do exactly this, but there is none written for you. > > > That is actual syntax from Systemverilog. When I want to set up a FIFO in a testbench, I declare a queue and use the .push_front() and .pop_back() methods. It's already in the language; it just isn't supported in synthesis. This isn't a case where I'm asking the tool to convert sequential to parallel; I'm just asking it to look for a function call and instantiate a FIFO. Just something to make the code a little easier to write, read, and maintain.
But if it isn't supported in synthesis, what good is it? If I am coding for simulation only I can take all manner of shortcuts. Rick C.