FPGARelated.com
Forums

MicroBlaze & top module?

Started by Unknown November 24, 2006
Hello,
I have defined some signals which I plan to change dinamicaly with
MicroBlaze as submodule.
Signals are organized as arrays and I will use one index and one data
port to access them (like PicoBlaze IO ports).

What is the best way of interfacing these signals between the
MicroBlaze and the top level?