FPGARelated.com
Forums

high bandwitch ethernet communication

Started by eliben September 5, 2007
On Sep 7, 4:06 pm, eliben <eli...@gmail.com> wrote:
> On Sep 7, 8:14 am, glen herrmannsfeldt <g...@ugcs.caltech.edu> wrote: > > > Paul Keinanen wrote: > > > (snip) > > > > If the OP required only something dedicated point to point > > > connectivity, why bother with the IP wrapper, just send raw Ethernet > > > frames with MAC addressing ? > > > You could, but sending UDP isn't that much harder. If you really want > > to simplify it, put the destination MAC address in as a constant > > This is exactly why direct MAC communication is undesirable here. > Tying the sender to the receiver's MAC address as a constant isn't > good engineering. > > > (saves doing ARP, but ARP could also be done in external software > > and the result written to the FPGA). > > Indeed. ARP needs to be done only once in a while anyway, so it can be > done by a slow software program. > > > The next complication is > > generating the CRC for UDP, but that is optional. The ethernet > > CRC has to be generated in either case. > > CRC generation in FPGAs is blazing fast, so I don't really see a > problem here. > > Eli
Hi Eli, I am build a similar thing: a GLAN high-speed camera based on low-cost Avnet Virtex4 Mini-Module. I modified Xilinx GSRD2 to fit on this module. Sending pure ethernet frames you can achieve 730-850 MBit/s. The LL_TEMAC is DMA based peripheral with hardware checksum. If you need any other information or the complete desing do not hesitate to contact me. Chers, Guru