FPGARelated.com
Forums

How to make Altera-Modelsim free download version to work?

Started by Weng Tianxiang December 5, 2018
On 15/12/2018 16:07, Weng Tianxiang wrote:
..
> > It is the latest INFORMATION of GHDL: > > The current version of GHDL does not contain any graphical viewer: you cannot see signal waves. > > You can still check the behavior of your design with a test bench. > > Moreover, the current version can produce a GHW, VCD or FST files which can be viewed with a waveform viewer, such as GtkWave. > > GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, the latest, 2008. PSL is also partially supported.
This is really good as none of the restricted free commercial versions supports PSL.
> > Several third party projects are supported: VUnit, OSVVM, cocotb (through the VPI interface), . . . > > Now I know why GHDL is not populous, the basic reason is it has no waveform viewer!
Build-in waveform viewer, but I agree with you this is a major obstacle. The waveform display is the number one debugging window. It doesn't matter if you design an AND gate or the latest billion gate machine learning chip the waveform window is always king. For this reason EDA vendors have added all sorts of enhancements such as virtual signals/functions, transaction display, analogue display, multiple panes, group/combine functions, scripting etc. GHW+Sigasi improves the situation but it is still not as smooth or capable as the free restricted Modelsim or ActiveHDL versions.
> > But for a free version, it still has its values:
Definitely, I hope GHDL will be the first simulator to fully support the 2018 standard.
> 1. It can be used to correct all VHDL grammar errors, playing the same rule as Modelsim does. > > 2. It can be used to test a pre-issued product playing the same rule as Modelsim does, but it should be faster than Modelsim PE or SE.
Hum? why should it be faster? I give all kudos to Tristan (et al.) as he wrote an amazing piece of software but it is definitely not faster than Modelsim. I ran two of my test cases again to see if anything has changed and GHDL was still 6x slower than Modelsim 10.7c. This is no surprise as Mentor has an army of engineers trying to squeeze the last fs from the simulation time. Modelsim SE has been obsolete for at least 8 years (replaced by Questa). Hans www.ht-lab.com
> > 3. At least it provides files to communicate with third party software to view the waveform. > > I will be comfortable with this method: As an experienced VHDL designer, I spent most of time running Modelsim until an error showed up. The speed is very important factor to get all bugs discovered. > > Weng > >
> > 2. It can be used to test a pre-issued product playing the same rule as Modelsim does, but it should be faster than Modelsim PE or SE. > Hum? why should it be faster? I give all kudos to Tristan (et al.) as he > wrote an amazing piece of software but it is definitely not faster than > Modelsim. I ran two of my test cases again to see if anything has > changed and GHDL was still 6x slower than Modelsim 10.7c. This is no > surprise as Mentor has an army of engineers trying to squeeze the last > fs from the simulation time. > Modelsim SE has been obsolete for at least 8 years (replaced by Questa). > > Hans > www.ht-lab.com
Hi Hans, I appreciate your sharing experiences with us. I desplayed Youtube "Getting Started With VHDL on Windows (GHDL & GTKWave)" https://www.youtube.com/watch?v=H2GyAIYwZbw&t=946s I asked one Modelsim seller who offers to sell Modelsim DE. Do you have any experiences with different versions of Modelsim and their prices? It seems to me that buying a Modelsim perpetual use of PE, or other advanced version may be my best option. Thank you. Weng
On 23/12/2018 13:47, Weng Tianxiang wrote:
> >>> 2. It can be used to test a pre-issued product playing the same rule as Modelsim does, but it should be faster than Modelsim PE or SE. >> Hum? why should it be faster? I give all kudos to Tristan (et al.) as he >> wrote an amazing piece of software but it is definitely not faster than >> Modelsim. I ran two of my test cases again to see if anything has >> changed and GHDL was still 6x slower than Modelsim 10.7c. This is no >> surprise as Mentor has an army of engineers trying to squeeze the last >> fs from the simulation time. >> Modelsim SE has been obsolete for at least 8 years (replaced by Questa). >> >> Hans >> www.ht-lab.com > > Hi Hans, > > I appreciate your sharing experiences with us. > > I desplayed Youtube "Getting Started With VHDL on Windows (GHDL & GTKWave)" > > https://www.youtube.com/watch?v=H2GyAIYwZbw&t=946s
Nice tutorial although I would forget about the VCD format as it is not well suited for VHDL. There is e.g. no support for enumerated types (I suspect GTKwave will allow you to add them afterwards with some virtual functions). If you want to use GHDL use GHW+GTKWave together with Sigasi: https://youtu.be/thenLKSynO8
> > I asked one Modelsim seller who offers to sell Modelsim DE. > > Do you have any experiences with different versions of Modelsim and their prices?
Have a look at this comparison chart: https://www.innofour.com/1015/eda/fpga-design/simulation-verification/modelsim-edition-comparison The main difference is that Modelsim DE will give you full support for PSL/SVA which is great for functional verification. You also get access to the FLI (VHDL C/C++ I/F) and full 64bits Windows support to name a few. If you don't need any of these just ask for Modelsim PE.
> > It seems to me that buying a Modelsim perpetual use of PE, or other advanced version may be my best option.
If you need it for commercial work then yes Modelsim is a great product. For personal use it is too expensive. Intel has a $1995 version (for Intel FPGA's only) but I think it is for 1 year only (non perpetual) and has lower performance than Modelsim. Good luck, Hans www.ht-lab.com
> > Thank you. > > Weng >
On Sunday, December 23, 2018 at 6:52:58 AM UTC-5, HT-Lab wrote:
> On 15/12/2018 16:07, Weng Tianxiang wrote: > .. > > > > It is the latest INFORMATION of GHDL: > > > > The current version of GHDL does not contain any graphical viewer: you cannot see signal waves. > > > > You can still check the behavior of your design with a test bench. > > > > Moreover, the current version can produce a GHW, VCD or FST files which can be viewed with a waveform viewer, such as GtkWave. > > > > GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, the latest, 2008. PSL is also partially supported. > > This is really good as none of the restricted free commercial versions > supports PSL. > > > > Several third party projects are supported: VUnit, OSVVM, cocotb (through the VPI interface), . . . > > > > Now I know why GHDL is not populous, the basic reason is it has no waveform viewer! > Build-in waveform viewer, but I agree with you this is a major obstacle. > The waveform display is the number one debugging window. It doesn't > matter if you design an AND gate or the latest billion gate machine > learning chip the waveform window is always king. For this reason EDA > vendors have added all sorts of enhancements such as virtual > signals/functions, transaction display, analogue display, multiple > panes, group/combine functions, scripting etc. > GHW+Sigasi improves the situation but it is still not as smooth or > capable as the free restricted Modelsim or ActiveHDL versions. > > > > But for a free version, it still has its values: > Definitely, I hope GHDL will be the first simulator to fully support the > 2018 standard. > > > 1. It can be used to correct all VHDL grammar errors, playing the same rule as Modelsim does. > > > > 2. It can be used to test a pre-issued product playing the same rule as Modelsim does, but it should be faster than Modelsim PE or SE. > Hum? why should it be faster? I give all kudos to Tristan (et al.) as he > wrote an amazing piece of software but it is definitely not faster than > Modelsim. I ran two of my test cases again to see if anything has > changed and GHDL was still 6x slower than Modelsim 10.7c. This is no > surprise as Mentor has an army of engineers trying to squeeze the last > fs from the simulation time.
It could be faster than Modelsim because Modelsim is intentionally crippled unless you pay for the fastest version. So if GHDL picks up the same sorts of speed enhancements as commercial packages it won't require that the higher speeds be enabled.
> Modelsim SE has been obsolete for at least 8 years (replaced by Questa).
Personally I wouldn't know. I use the ActiveHDL that comes free with Lattice tools. I gave up paying for this sort of software. I didn't feel I was getting anything for my money. Support is not good. It seems most support comes from the community, in both commercial and open source packages. So why pay for commercial stuff? Rick C. - Get 6 months of free supercharging - Tesla referral code - https://ts.la/richard11209
On 23/12/2018 15:18, gnuarm.deletethisbit@gmail.com wrote:
> On Sunday, December 23, 2018 at 6:52:58 AM UTC-5, HT-Lab wrote:
..
>> Hum? why should it be faster? I give all kudos to Tristan (et al.) as he >> wrote an amazing piece of software but it is definitely not faster than >> Modelsim. I ran two of my test cases again to see if anything has >> changed and GHDL was still 6x slower than Modelsim 10.7c. This is no >> surprise as Mentor has an army of engineers trying to squeeze the last >> fs from the simulation time. > > It could be faster than Modelsim because Modelsim is intentionally crippled unless you pay for the fastest version.
True, but Modelsim's OEM versions are about 40% of the speed of Modelsim which means they are still faster than GHDL (base on my limited testing). Of course this is true until you reach the instance limit in which case Modelsim OEM grinds to a halt. It would be great if GHDL was faster than Modelsim as for some of my designs I don't need to log any signals, just sockets I/O.
>So if GHDL picks up the same sorts of speed enhancements as commercial packages it won't require that the higher speeds be enabled.
How can GHDL pick up the same sorts of speed enhancements? I am sure all EDA vendors keep their optimisers a close secret.
> > >> Modelsim SE has been obsolete for at least 8 years (replaced by Questa). > > Personally I wouldn't know.
I do.
>I use the ActiveHDL that comes free with Lattice tools.
Right and that version is not crippled?
>I gave up paying for this sort of software. I didn't feel I was getting anything for my money. Support is not good.
for you...., doesn't mean it is bad for everybody.
> It seems most support comes from the community, in both commercial and open source packages. So why pay for commercial stuff?
Because if you work on a commercial product and you find an issue you want to pick up the phone and get help immediately. They are required to help you as you are paying expensive maintenance, if they don't help you you switch products. Also for a complex product you can not rely on the free versions as they are too limited in their capabilities. I guess you are happy with the free ActiveHDL version as your designs are not that large. Regards, Hans. www.ht-lab.com
> > Rick C. > > - Get 6 months of free supercharging > - Tesla referral code - https://ts.la/richard11209 >
On Sunday, December 23, 2018 at 11:09:00 AM UTC-5, HT-Lab wrote:
> On 23/12/2018 15:18, gnuarm.deletethisbit@gmail.com wrote: > > On Sunday, December 23, 2018 at 6:52:58 AM UTC-5, HT-Lab wrote: > .. > >> Hum? why should it be faster? I give all kudos to Tristan (et al.) as he > >> wrote an amazing piece of software but it is definitely not faster than > >> Modelsim. I ran two of my test cases again to see if anything has > >> changed and GHDL was still 6x slower than Modelsim 10.7c. This is no > >> surprise as Mentor has an army of engineers trying to squeeze the last > >> fs from the simulation time. > > > > It could be faster than Modelsim because Modelsim is intentionally crippled unless you pay for the fastest version. > > True, but Modelsim's OEM versions are about 40% of the speed of Modelsim > which means they are still faster than GHDL (base on my limited > testing). Of course this is true until you reach the instance limit in > which case Modelsim OEM grinds to a halt. It would be great if GHDL was > faster than Modelsim as for some of my designs I don't need to log any > signals, just sockets I/O. > > > >So if GHDL picks up the same sorts of speed enhancements as commercial packages it won't require that the higher speeds be enabled. > > How can GHDL pick up the same sorts of speed enhancements? I am sure all > EDA vendors keep their optimisers a close secret. > > > > > > >> Modelsim SE has been obsolete for at least 8 years (replaced by Questa). > > > > Personally I wouldn't know. > > I do. > > >I use the ActiveHDL that comes free with Lattice tools. > > Right and that version is not crippled? > > >I gave up paying for this sort of software. I didn't feel I was getting anything for my money. Support is not good. > > for you...., doesn't mean it is bad for everybody. > > > It seems most support comes from the community, in both commercial and open source packages. So why pay for commercial stuff? > > Because if you work on a commercial product and you find an issue you > want to pick up the phone and get help immediately. They are required to > help you as you are paying expensive maintenance, if they don't help you > you switch products. Also for a complex product you can not rely on the > free versions as they are too limited in their capabilities. I guess you > are happy with the free ActiveHDL version as your designs are not that > large.
My use of the free tools is post having paid for tools and gotten a lot less than I would have liked. What gets support is buying lots of chips, not support dollars. Support dollars are chump change in the budget of the chip makers. Rick C. -- Get 6 months of free supercharging -- Tesla referral code - https://ts.la/richard11209