FPGARelated.com
Forums

Xilinx ISE 7.1 - Can this get any worse?

Started by Unknown March 21, 2005
Subroto Datta wrote:
> Hi Big, > > We'd like to hear your view about how Quartus can be made better for > your needs. >
Always like the topological display of VHDL modules in other tools like ispLever or Actel's Libero... It is painful to import a VHDL design into Quartus and manually arrange the file order... Ah yeah..when is Altera striking with a free Linux version? (o; rick
Hi Jedi,

> Always like the topological display of VHDL modules in other tools > like ispLever or Actel's Libero...
Can you expand on this? Haven't got the slightest idea what you mean. I'm envisioning a Mercator projection of desing blocks on a map...
> It is painful to import a VHDL design into Quartus and manually > arrange the file order...
Yep. Dunno whether there's work on this, but you're right, doing a 2-pass parse/compile/elaborate would be handy. Then again, this would mostly make sense when building a project for the first time. Maybe something for the New Project Wizard.
> Ah yeah..when is Altera striking with a free Linux version? (o;
I'm pushing for this as well. Would you be OK with a GUI-less version? (anyone interested raise their hands!). Altera pays royalties on the GUI under Linux and Solaris, so if you can get by without a GUI, Altera can truly ship something free. Best regards, Ben
Ben Twijnstra wrote:
> > Ah yeah..when is Altera striking with a free Linux version? (o; > > I'm pushing for this as well. Would you be OK with a GUI-less
version?
> (anyone interested raise their hands!). Altera pays royalties on the
GUI
> under Linux and Solaris, so if you can get by without a GUI, Altera
can
> truly ship something free.
I second that. I guess marketing puts today a lot of pressure about user appealing IDEs, but if it were up to me I would put all my effort in getting out efficient, heavily tested and well documented shell tools before shipping monolithic, un-intuitive, visually bloated software. It would be nice to have Altera tools for Linux that way, or with a very light but well-thought interface (Synplify comes to my mind). If licensing is an issue, there are very good cross-platform free GUI tools. I think Lattice is using wxWidgets for their new IDE. Altera and Xilinx already use Eclipse for their CPU SDKs, maybe they should unify all with it (perhaps that is what they are planning to do?). C'mon, people went to the moon using slide rules, and the first ICs were layout with scissor-cut mylar over kitchen tables. It can't be *that* hard! My 2 cents. -- PabloBleyerKocik / pablo /"Reliable software must kill people reliably." @bleyer.org / -- Andy Mickel
Hi Ben

> I'm pushing for this as well. Would you be OK with a GUI-less version? > (anyone interested raise their hands!). Altera pays royalties on the GUI > under Linux and Solaris, so if you can get by without a GUI, Altera can > truly ship something free.
Oh yes. I can't be the only one here who would much rather run everything from a Makefile. Many moons ago I went through the agony of reverse engineering how to run XST, map, par, etc. from the commandline (under Wine), but that was ISE and I didn't keep it up. Cheers, Tommy
On 2005-03-23, Ben Twijnstra <btwijnstra@gmail.com> wrote:
> I'm pushing for this as well. Would you be OK with a GUI-less version?
If I'd have Altera FPGA, definitely yes. I probably would have considered purchasing Altera, if there would have been decent Linux software out to try. Now it's a bit late, though. There needs to be an easy tutorial on using the CLI tools, but I trust Altera can do it, or they already have.
I am up and running with 7.1 now. I have not seen Foundation after
version 3.  I guess it looks alright.  I do not plan on using anything
but text driven designs so the all of the graphical data entry won't
help me.  It's going to take me some time to really give good feedback
on it.

Maybe something for both Altera and Xilinx.  If you are really
interested in some feedback, I would personally take the time to enter
data into an on-line survey about your tools if you set one up.  Just a
thought.

I had hopes of going back to Foundation (I need to stop having those)
of being able to port some older designs.  It seems it is able to read
projects from version 4, forward.  I have written Xilinx to see if I
can get a copy of version 4 to port older designs to it and then to 7.
Has anyone tried this for fun?

Hi,

Yes, I have tried ISE -- the OP asked for an alternative.  Quartus
isn't perfect, but I think the GUI is more intuitive and has a lower
learning curve, and the tool is generally more integrated.  Or for
those script-lovers, you can script up the various pieces of the tool
to run without having to ever look at the GUI.

The OP was asking for an alteranative, and I provided one.

Regards,

Paul

Tommy Thorn <foobar@nowhere.void> writes:

> Oh yes. I can't be the only one here who would much rather run > everything from a Makefile.
No, you're not the only one. I run both Quartus and ISE on Linux with Makefiles (well in the Quartus case most of the work is done in Tcl). I prefer to check all the files out from CVS, run make which will run synthesis, ngdbuild, map, par, bitgen, trce, netgen, and optionally upload the bit file (using impact in batch mode) to the FPGA. The only FPGA GUI tool I use every now and then is the FPGA editor (I use signalscan for my simulations). If GUI is Driving Under Influence, then what does GUI mean? Petter -- A: Because it messes up the order in which people normally read text. Q: Why is top-posting such a bad thing? A: Top-posting. Q: What is the most annoying thing on usenet and in e-mail?
Hi Tuukka,

> On 2005-03-23, Ben Twijnstra <btwijnstra@gmail.com> wrote: >> I'm pushing for this as well. Would you be OK with a GUI-less version? > > If I'd have Altera FPGA, definitely yes. I probably would have considered > purchasing Altera, if there would have been decent Linux software out to > try. Now it's a bit late, though. > > There needs to be an easy tutorial on using the CLI tools, but I trust > Altera can do it, or they already have.
There's a whopping big TCL scripting manual in dead-tree format (200+ pages if I'm correct) that comes with Quartus 4.2. Also, work is under way to document each and every setting that can be set in the GUI and that the Quartus TCL interpreter understands. Then of course there's the quartus_sh --qhelp command that will give you online help for the command-line option of every Quartus command. Best regards, Ben
Ben Twijnstra wrote:
> Hi Tuukka, >
> > There's a whopping big TCL scripting manual in dead-tree format (200+
pages
> if I'm correct) that comes with Quartus 4.2. Also, work is under way
to
> document each and every setting that can be set in the GUI and that
the
> Quartus TCL interpreter understands. >
You can save the trees and read the 358 page document from http://www.altera.com/literature/manual/TclScriptRefMnl.pdf The document being referred to by Ben is the Quartus Scripting Reference Manual. It is slight misnomer to call it a Tcl scripting reference. This document covers two different approaches for scripting, each with their own strengths. One is command line scripting, where you call the different command line executables quartus_map, quartus_fit, quartus_tan, quartus_eda, quartus_asm and quartus_pgm with command line options. If you are familiar with the Xilinx compiler executables with their - switches on the command line this will be very easy to understand. The second approach allows you to use the Tcl programming language for creating your design flows. This is more powerful than command line scripting as you can use the rich Tcl API supported by the Quartus executables to script and automate your design flows, right from setting up your project, through compilation and verification. For e.g. if you wanted to control our flow based on the condition of certain design objects in your compiler report, or create custom timing analysis reports the Tcl approach is the way to go. Hope this helps, Subroto Datta Altera Corp.