FPGARelated.com
Blogs

MyHDL @EDAPlayground

Christopher FeltonOctober 24, 2013

Trying out MyHDL became a little easier recently.  MyHDL is now avaialbe @EDAPlayground.  One can experiment with Python/MyHDL verification of HDL modules and implementing complex digital cirucits in MyHDL.

The @EDAPlayground has two main panels. On the left is the testbench and the right the HDL description to be tested.

edaplay

There are a couple examples on @EDAPlayground :

If you are not familiar with MyHDL I compiled a list of resources here to get started.

Quick start: copy one of the public designs and start experimenting :)



To post reply to a comment, click on the 'reply' button attached to each comment. To post a new comment (not a reply to a comment) check out the 'Write a Comment' tab at the top of the comments.

Please login (on the right) if you already have an account on this platform.

Otherwise, please use this form to register (free) an join one of the largest online community for Electrical/Embedded/DSP/FPGA/ML engineers: